NanDigits的IC技术圈专栏主页
NanDigits
Optimizing ECO Efficiency: A Seamless Integration of Manual and Automatic Flows
Oct. 12, 2024, 4:03 p.m. 32 阅读
The mixed functional ECO flow combines both automatic and manual modes seamlessly to improve the efficiency and quality of functional ECO operations. GOF ECO integrates these two modes, allowing users to perform all ECO-related tasks in one environment without switching between different scripts or netlist files for preprocessing and post-processing. This unification saves time and reduces errors while enabling more control over the changes made to the design.
AI-Assisted ECO Decision-Making
Sept. 30, 2024, 11:24 p.m. 65 阅读
These stages, such as key point mapping and port inversion checking, are computationally intensive and not easily parallelized, leading to significant processing times. This is where AI comes into play, offering a solution by extracting meaningful patterns from historical ECO data. By learning from past decisions, AI can provide informed guidance during the decision-making process, greatly enhancing efficiency.
Efficient Spare Gate Mapping in Metal-Only ECO: Converting ECO Logic to MUX-Only Logic
Sept. 23, 2024, 4:32 p.m. 80 阅读
Mapping random ECO logic to MUX-only logic can be a challenge for the synthesis engine. Thankfully, GOF offers a solution to this issue.
DFT DRC and script automation
Sept. 14, 2024, 5:08 p.m. 67 阅读
GOF ECO provides a streamlined solution for designers to implement functional changes in digital designs without compromising DFT functionality. This article highlights key features of DFT-friendly ECO and the DFT Design Rule Checking (DRC) capabilities integrated into GOF ECO.
AI Strategy in Netlist ECO
Aug. 19, 2024, 1:11 p.m. 110 阅读
NanDigits, a leading provider of Verilog Netlist ECO and debug tools, is committed to leveraging artificial intelligence (AI) to enhance its technical support, improve the ECO engine, and elevate the user experience. Here's an overview of NanDigits' AI strategy, focusing on its current use, future plans, and measurement of success.
Why Gate-to-Gate Comparison Fails in Formality with Multibit Flops?
Aug. 11, 2024, 8:58 a.m. 230 阅读
When both the Reference and Implementation Netlists contain multibit flops, it's crucial to apply SVF files to each netlist individually to avoid issues.
SVF guide statements for multibit flop mapping
July 12, 2024, 11:28 p.m. 100 阅读
In the realm of Logic Equivalence Checking or Functional Netlist ECO, the primary purpose of utilizing the SVF file generated by Synopsys Design Compiler is to facilitate accurate mapping of key points. This is particularly crucial when dealing with designs that involve the utilization of multibit flops. The SVF file is instrumental in conveying how individual flops are combined into multibit flops, as well as elucidating any modifications in instance names.
Netlist Functional ECO to Fix DFT Logic
July 1, 2024, 11:23 p.m. 92 阅读
Netlist functional ECO to fix DFT (Design for Test) logic is a common practice during the chip tape-out stage. Issues that require ECO might include "DFT DRC (Design Rule Check)" , “Missing TDR (Test Data Register)”. Typically, these ECOs are done manually by designers editing large netlists, which is inefficient.
Optimizing ECO Quality: GOF ECO vs. Conformal ECO Methodologies
March 31, 2024, 4:32 p.m. 185 阅读
there exists a crucial distinction between the Implementation Netlist and the Reference Netlist.
Optimizing Metal-Only ECO Efficiency: Leveraging GUI and Automated Approaches
March 4, 2024, 10:19 a.m. 191 阅读
The paramount aspect of Metal-only ECO lies in achieving the final timing. Without achieving timing closure, an ECO cannot be deemed successful.
Integrating Third Party LEC Tool Outcome for Functional ECO
March 1, 2024, 11:46 a.m. 247 阅读
This LEC assessment can seamlessly integrate into GOF ECO for rapid functional ECO iteration. Non-equivalent outcomes may arise from tools such as Synopsys Formality.
Enhancing Functional ECO Precision: Leveraging Pre-Layout Netlist for Accurate Port Phase Detection
Feb. 15, 2024, 10:52 a.m. 157 阅读
In a functional netlist ECO, detecting the boundary phase relationship between the Reference Netlist and the Implementation Netlist is crucial when ports undergo changes.
Accelerating ECOs in SOC Design
Feb. 6, 2024, 11:35 p.m. 346 阅读
When a functional ECO is required, and it pertains to a specific sub-module, the design team aims to restrict the ECO to that particular sub-module rather than initiating synthesis for the entire design.
Create top level full netlist incrementally
Jan. 28, 2024, 10:05 p.m. 171 阅读
Performing a complete top-level netlist synthesis can be time-consuming. GOF provides APIs enabling the integration of newly synthesized sub-modules into the original pre-layout netlist, along with updates to the top-level SVF file.
Navigating Abort Points in Logic Equivalence Checking
Jan. 28, 2024, 9:45 p.m. 176 阅读
Abort points are frequently encountered in Logic Equivalence Checking. LEC tools, which may run for extended periods, might eventually cease operation, leading to an unsuccessful result.
Help file to pass Formality in logic equivalence checking
Jan. 5, 2024, 3:48 p.m. 175 阅读
The difficulty in large netlist ECO arises in successfully navigating logic equivalence checking, especially when undergoing RTL-to-ECO netlist equivalence checking with Formality, and without the aid of an updated SVF file
Convert any Gates to Mux
Jan. 1, 2024, 10:58 p.m. 196 阅读
In some cases, there are designs where only INV, MUX, and Flop types are available as spare gates. However, mapping random ECO logic to MUX-only logic can be a challenge for the synthesis engine. Thankfully, GOF offers a solution to this issue.
最新20篇 开设专栏