NanDigits的IC技术圈专栏主页
NanDigits
Optimizing ECO Quality: GOF ECO vs. Conformal ECO Methodologies
March 31, 2024, 4:32 p.m. 43 阅读
there exists a crucial distinction between the Implementation Netlist and the Reference Netlist.
Optimizing Metal-Only ECO Efficiency: Leveraging GUI and Automated Approaches
March 4, 2024, 10:19 a.m. 53 阅读
The paramount aspect of Metal-only ECO lies in achieving the final timing. Without achieving timing closure, an ECO cannot be deemed successful.
Integrating Third Party LEC Tool Outcome for Functional ECO
March 1, 2024, 11:46 a.m. 60 阅读
This LEC assessment can seamlessly integrate into GOF ECO for rapid functional ECO iteration. Non-equivalent outcomes may arise from tools such as Synopsys Formality.
Enhancing Functional ECO Precision: Leveraging Pre-Layout Netlist for Accurate Port Phase Detection
Feb. 15, 2024, 10:52 a.m. 53 阅读
In a functional netlist ECO, detecting the boundary phase relationship between the Reference Netlist and the Implementation Netlist is crucial when ports undergo changes.
Accelerating ECOs in SOC Design
Feb. 6, 2024, 11:35 p.m. 90 阅读
When a functional ECO is required, and it pertains to a specific sub-module, the design team aims to restrict the ECO to that particular sub-module rather than initiating synthesis for the entire design.
Create top level full netlist incrementally
Jan. 28, 2024, 10:05 p.m. 60 阅读
Performing a complete top-level netlist synthesis can be time-consuming. GOF provides APIs enabling the integration of newly synthesized sub-modules into the original pre-layout netlist, along with updates to the top-level SVF file.
Navigating Abort Points in Logic Equivalence Checking
Jan. 28, 2024, 9:45 p.m. 53 阅读
Abort points are frequently encountered in Logic Equivalence Checking. LEC tools, which may run for extended periods, might eventually cease operation, leading to an unsuccessful result.
Help file to pass Formality in logic equivalence checking
Jan. 5, 2024, 3:48 p.m. 58 阅读
The difficulty in large netlist ECO arises in successfully navigating logic equivalence checking, especially when undergoing RTL-to-ECO netlist equivalence checking with Formality, and without the aid of an updated SVF file
Convert any Gates to Mux
Jan. 1, 2024, 10:58 p.m. 55 阅读
In some cases, there are designs where only INV, MUX, and Flop types are available as spare gates. However, mapping random ECO logic to MUX-only logic can be a challenge for the synthesis engine. Thankfully, GOF offers a solution to this issue.