IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
“EDA算法”入门课程与书籍推荐
#自动化  #EDA  #课程  #书籍 
入门课程与书籍推荐之“EDA算法”
网络交换FPGA
Win10 WSL + Linux 开源 EDA(三)
#验证  #开源  #EDA 
本期介绍一款开源的 VCD 波形查看器。
icsoc
“硬件加速方法”第四轮MOOC将于2月26日开放
#前端 EDA  #芯片 
“芯动力——硬件加速设计方法”是目前MOOC课程中少有的几门讲授工业界主流ASIC、SOC设计技术的课程,于2019年12月在“中国大学MOOC”平台上线,迄今已经完成了三轮授课,选课人数逾6000多人。课程前三轮好评度为4.8星。第四轮课程即将于2月26日开课,欢迎对数字芯片设计与FPGA设计技术感兴趣的同学选课!本轮依然会为成绩最高分的同学送出奖品,具体奖品请后续关注课程公告。
网络交换FPGA
使用Verdi的小技巧(三)
#前端  #EDA工具  #Verdi 
数字信号在Verdi中的模拟化呈现。
icsoc
如何在“浏览器”里实现一个云端EDA
#软件  #django  #eda  #云端EDA 
本文介绍了一种在浏览器里编辑代码、仿真、看log、看波形的方法。
ExASIC
浅谈VCS的两种仿真flow
#软件  #EDA  #VCS  #仿真 
介绍VCS的两种仿真flow
ICer消食片
MyHDL,体验一下“用python设计电路”
#软件  #EDA  #myhdl  #前端设计 
用myhdl写了一个模块,里面有两个计数器:cnt1从0计到9,当cnt1=9时,cnt2从0计到4。不仅可以输出verilog,还可以仿真产生vcd和看波形。
ExASIC
用NanDigits GOF LEC做一致性检查
#验证  #LEC  #EDA 
录了一段视频,来体验一下用NanDigits GOF LEC做LEC。
ExASIC
入行数字IC验证后会做些什么?
#验证  #IC验证  #flow  #EDA  #FPGA  #Emulator 
本文介绍验证在第一年内所要学习的内容
ICer消食片
源码系列:基于FPGA的数模转换(DA)设计
#FPGA  #数模转换器  #DA  #串行数/模转换芯片TLC5620 
数模转换器(Digital to Analog Converter)即DAC,是数字世界和模拟世界之间的桥梁。从航空航天、国防军事到民用通信、多媒体、数字信号处理等都涉及到DAC应用。DAC基本上由4个部分组成,即权电阻网络、运算放大器、基准电源和模拟开关。它是一种将二进制数字量形式的离散信号转换成以参考电压为基准的模拟量的转换器。本设计驱动TLC5620将输入的数字量转换为实际的模拟量(电压)……
FPGA技术江湖
EDA虚拟机分享(Synopsys2020)
#软件  #EDA  #虚拟机 
分享一个EDA虚拟机,安装2020版本软件,仅供学习使用
亦安的数字小站
Formality流程
#前端  #数字IC  #EDA  #Formality 
formality是S家的形式验证的工具,形式验证故名思意是完成一个表面逻辑的验证,通过导入rtl代码和DC综合后的门级网表,验证前后逻辑是否一致,是否DC将部分逻辑消除了。
IC摸鱼仔
Synopsys2022虚拟机分享
#软件  #EDA 
分享一个仅供学习的虚拟机
亦安的数字小站
开源的Bluespec SystemVerilog (BSV)语言表现如何?
#FPGA  #开源  #EDA  #游戏 
Bluespec SystemVerilog (BSV) 是由Arvind 开发的 Bluespec 语言,这是一种高级功能 硬件 描述编程语言,本质上是Haskell(Haskell ( / ˈh æs k əl / ) 是一种通用的、静态类型的、纯函数式 编程语言,具有类型推断和惰性求值。)的扩展,用于处理一般的芯片设计和电子设计自动化。Bluespec的主要设计者和实现者是Lennart Augustsson。Bluespec 被部分评估(转换 Haskell 部分)并编译为术语重写系统(TRS)。它带有一个SystemVerilog前端。BSV 编译为Verilog RTL 设计文件。2020年1月,Bluespec开源了bsc(Bluespec SystemVerilog Compiler)。因此我安装了 bsc,这是一个编译器,可以对用BSV (Bluespec SystemVerilog)编写的设计进行高级综合。BSV 与任何其他语言一样,无法通过阅读手册来学习,我认为学习新语言的唯一方法是实际设计应用程序。因此,我尝试使用 BSV 设计《太空入侵者》游戏。
OpenFPGA