IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
“最强”硬核游戏机-基于FPGA硬解游掌机样机展示(GameGirl)
#FPGA  #硬解  #掌机  #游戏机  #FPGA 
“最强”硬核游戏机-基于FPGA硬解游掌机样机展示(GameGirl),以FPGA为核心实现硬解NES SNES等经典游戏机
OpenFPGA
优秀的 Verilog/FPGA开源项目介绍(十二)- 玩FPGA不乏味
#FPGA  #游戏  #小霸王 
之前给大家分享了大约一百多个关于FPGA的开源项目,涉及PCIe、网络、RISC-V、视频编码等等,这次给大家带来的是不枯燥的娱乐项目,主要偏向老的游戏内核使用FPGA进行硬解,涉及的内核数不胜数,主要目标是高的可实现性及复现性。
OpenFPGA
开源的Bluespec SystemVerilog (BSV)语言表现如何?
#FPGA  #开源  #EDA  #游戏 
Bluespec SystemVerilog (BSV) 是由Arvind 开发的 Bluespec 语言,这是一种高级功能 硬件 描述编程语言,本质上是Haskell(Haskell ( / ˈh æs k əl / ) 是一种通用的、静态类型的、纯函数式 编程语言,具有类型推断和惰性求值。)的扩展,用于处理一般的芯片设计和电子设计自动化。Bluespec的主要设计者和实现者是Lennart Augustsson。Bluespec 被部分评估(转换 Haskell 部分)并编译为术语重写系统(TRS)。它带有一个SystemVerilog前端。BSV 编译为Verilog RTL 设计文件。2020年1月,Bluespec开源了bsc(Bluespec SystemVerilog Compiler)。因此我安装了 bsc,这是一个编译器,可以对用BSV (Bluespec SystemVerilog)编写的设计进行高级综合。BSV 与任何其他语言一样,无法通过阅读手册来学习,我认为学习新语言的唯一方法是实际设计应用程序。因此,我尝试使用 BSV 设计《太空入侵者》游戏。
OpenFPGA