IC技术圈期刊 2021年 第12期

类别: FPGA(9) 前端(11) 验证(13) 后端(6) 嵌入式(0) 自动化(3) 模拟(0) 求职就业(10) 管理(0) 软件(1) 按月份
若可以选,我宁愿重写曾经的“无聊”代码
#FPGA SpinalHDL 
今天,再来好好聊聊SpinalHDL中的FIFO。也许你是逻辑设计老手,但好好看看这篇文章,或许你也会有新的思考。
Spinal FPGA More
【UVM】 layering sequence for layered protocol
#验证  #UVM 
IC中常见的如PCIe,USB,UFS等都是分层传输的协议。对于这些高速IP,其验证环境通常也采用分层结构,方便扩展和重用。
IC Verification Club More
UVM设计模式 (九) 状态模式、Modelling Finite-State Machines in Testbench
#验证  #UVM  #设计模式 
在我们的验证环境中,有时也需要一个组件专门负责FSM的建模;例如验证USB Device DUT时,验证环境需要模拟USB Host的行为;对于USB协议复杂的状态机,使用专门的FSM组件模拟,可以减少组件间的耦合;也可以将FSM组件的状态赋值到virtual interface上,通过波形协助debug;
IC Verification Club More
VC Spyglass CDC(二)常见的CDC处理方法
#验证  #VC Spyglass  #CDC 
EDA厂商提供golden的CDC处理单元,Synopsys的Building Block IP提供如下解决方案;
IC Verification Club More
SystemVerilog中超级英雄super
#验证  #systemverilog  #super 
SystemVerilog的OOP编程中,经常需要使用super这个关键字,主要用于子类访问父类中的属性和方法。当然并不是在所有情况下都需要使用super,一般情况下如果子类对于父类中的属性或者方法进行overriden,那么此时如果要引用父类中被overriden的属性或者方法时,就需要明示super。
硅芯思见 More
SystemVerilog中传说的DPI
#验证  #systemverilog  #dpi 
本文示例DPI-C的基本用法
硅芯思见 More
基于FPGA的数字识别-实时视频处理的定点卷积神经网络实现
#FPGA  #cnn  #数字识别  #视频 
电脑显示数字(手写也可以,要求是浅色背景上检测深色数字(要求是训练集的问题)),通过摄像头采集缓存到SDRAM后在显示屏上显示摄像头数据,然后右下角显示监测到的数字。
OpenFPGA More
FPGA和USB3.0通信-UVC摄像机
#FPGA  #uvc  #usb3.0 
从零开始一步一步搭建一个工业摄像头(入门版本)。
OpenFPGA More
【经验分享】【面经】Bob哥经验分享——材料人逃离Fab之路
#求职就业  #求职  #材料专业 
本科末流985材料成型,硕士Top5材料工程,学习方向是数字芯片前端验证,做了新思的router的验证的开源项目,参加了第四届集创赛抱学长大腿拿了华东赛区三等奖(NAND Flash controller toggle模式的设计),在上海AMD做为DV intern实习了一年,一篇IC无关的材料SCI一作,Bob,也是从材料方向转行来的他,不知道有什么特殊的见解呢?
数字IC打工人 More
芯片片上SRAM存储概略及生成使用实践 (中)
#后端  #SRAM  #DFT  #MBIST $MBISR 
SRAM的除过主要的memory array意外,还可以包含可更多可测性的支持和扩展
艾思后端实现 More
Zynq系列-AXI概述以及其优势
#FPGA ZYNQ 
旨在介绍 AXI 协议的关键概念
ZYNQ More
ARM系列 -- 虚拟化(一)
#前端  #ARM 
一起研究ARM虚拟化
老秦谈芯 More
ARM系列 -- 虚拟化(二)
#前端  #ARM 
一起研究ARM虚拟化
老秦谈芯 More
ARM系列 -- 虚拟化(三)
#前端  #ARM 
一起研究ARM虚拟化
老秦谈芯 More
ARM系列 -- 虚拟化(四)
#前端  #ARM 
一起研究ARM虚拟化
老秦谈芯 More
ARM系列 -- 虚拟化(五)
#前端  #ARM 
一起研究ARM虚拟化
老秦谈芯 More
用Python解决Verilog网表转CDL大小写重名的问题
#自动化  #python  #后端 
在用calibre v2lvs把APR网表转CDL时是不是经常遇到下图的情况?这是CDL不区分大小写的原因。最常见的解决方法就是写脚本替换。我们注意到log里warning非常有规律:Duplicate port/net name "xxx" found in module "xxx",这样我们可以用正则提取net和module名字。
ExASIC More
IC验证学习路线(干货满满!)
#验证  #IC验证  #学习路线  #面试面经  #知识分享 
酒酒总结的IC验证学习路线(附资料,面试面经,IC验证面试常问88道总结,IC面试手撕代码总结,IC设计问题总结)
酒酒聊IC编程 More
研究生导师是路桑,找工作是一种什么样的体验?
#求职就业  #经验分享 
竹秋一的研究生导师是路科验证创始人路桑老师。作为路桑的学生,有一些独特的经历和经验分享给大家。
数字IC打工人 More
酒酒爆肝80道大厂高频面试题
#验证  #IC验证  #IC设计  #面试面经 
酒酒总结的IC设计问题(比较全面)
酒酒聊IC编程 More
【经验分享】钢铁哥:我投递了94份简历
#求职就业  #经验分享 
本科毕业于重邮微电子,研究生南邮光学工程专业做半导体分立器件(其实就是材料)。秋招期间,一共给94家公司投递简历,最后拿到了13家公司的offer。
数字IC打工人 More
【行业杂谈】来自一位芯片行业资深猎头的建议
#求职就业  #经验分享 
最近两年集成电路行业人才极度紧张,薪酬增速飞快。财帛动人心,有时候难免让人感到迷茫。Shine是一名IC行业猎头,从业5年,有一些建议供大家参考。
数字IC打工人 More
酒酒拿下四五十万的真实大厂面试经历
#验证  #IC验证  #IC设计  #面试面经 
酒酒提前批面试面经总结(大概30家公司面经总结,zeku,展锐,联发科,华为,寒武纪,地平线,商汤,奥比中光,集创北方。。。。。。)
酒酒聊IC编程 More
数字IC岗位,HR常问的44个问题
#求职就业  #IC设计  #面试面经  #IC验证 
整理了一些HR面试或者综合面试时,面试官常常问的一些问题,建议大家秋招面试的时候提前看一眼,做到心中有数~
IC媛 More
蜂鸟E203的交叉编译环境搭建
#求职就业  #蜂鸟E203  #开源项目 
手把手教蜂鸟e203交叉编译环境搭建~~~
IC媛 More
蜂鸟E203--移植Arty -a7
#求职就业  #蜂鸟E203  #项目移植  #开源项目 
手把手教蜂鸟E203移植Arty-a7开发板~~~
IC媛 More
用Python写一个stdcell library的parser
#自动化  #python  #后端 
用正则写一个简单的stdcell library的parser。对于多行的文本,设置标志位,在区间里进行正则匹配,可以提高准确性和效率。
ExASIC More
用Python提取Verilog网表层次和实例化关系
#自动化  #python  #后端 
我们知道Verilog网表文件很大,小的也有几十M、几百M,但Verilog网表没有形为级描述,只剩下stdcell和macro的实例化。本文用python正则实现了网表实例化关系提取。总结:正则不难,难的是善于总结和灵活应用。定义合理的数据存储结构也是非常重要的,后续操作会简便很多。
ExASIC More
DC 综合教程
#前端  #DC  #Design Compiler  #综合  #综合教程  #IC设计 
详细、完善、细节的DC 综合教程。
数字IC与硬件设计的两居室 More
VCS+Verdi 仿真流程
#前端  #VCS  #Verdi  #IC仿真工具  #IC仿真流程 
本教程不再介绍 VCS 或 Verdi 的工作原理(因为也不会),重点介绍工具的使用。所以,本节先不负责任、不给解释的给出 VCS+Verdi 的仿真流程,使用到的脚本文件可以供学者直接调用,进行快速仿真。
数字IC与硬件设计的两居室 More
优秀的 Verilog/FPGA开源项目介绍(十二)- 玩FPGA不乏味
#FPGA  #游戏  #小霸王 
之前给大家分享了大约一百多个关于FPGA的开源项目,涉及PCIe、网络、RISC-V、视频编码等等,这次给大家带来的是不枯燥的娱乐项目,主要偏向老的游戏内核使用FPGA进行硬解,涉及的内核数不胜数,主要目标是高的可实现性及复现性。
OpenFPGA More
与门变或门,或门变与门
#前端  #后端 
掌握常见逻辑门的等价变换是手工做网表ECO重要技能。比如,与门和或门的互换、与/或跟选择器的互换、DFF的SET和RESET互换、DFF上升沿和下降沿的互换等。下面介绍与门和或门的互换方法。
ExASIC More
IC设计中值得解决的小问题(一)
#前端  #IC设计 
IC设计中的见微知著。
icsoc More
LDPC码基本原理与FPGA设计思考
#FPGA  #LDDPC  #FPGA  #无线通信  #算法 
介绍LDPC码的基本原理,涉及相关概念,涉及实现需要考虑的因素。
FPGA算法工程师 More
【海外ICer】诺基亚 | 菜鸟工程师的打怪升级路
#求职就业  #诺基亚  #海外 
作者,我的好友April,去年她分享了在诺基亚实习的故事,一年过去了,让我们来看看她在诺基亚的成长日记
摸鱼范式II芯片验证之路 More
【IC留学生】美国东北大学生活分享
#求职就业  #高通  #海外 
去年这个时候,贝塔邀请我分享一下我的美国留学生活。因为学业、求职和工作,一直没腾出时间来动笔。终于在这个圣诞季腾出了时间记个流水账,作为在美国的这三年留学工作的生活记录吧。
摸鱼范式II芯片验证之路 More
关于UVM driver的幕后||你知道get_next_item在哪里吗?
#验证  #UVM  #源码 
我们都知道,driver要和sequencer相连,然后通过seq_item_port接口的get_next_item方法和sequence之间进行交互。 但是,你有没有去查过get_next_item这个方法究竟是哪个类提供的呢?
摸鱼范式II芯片验证之路 More
【译文】【第一章①】Mindshare PCI Express Technology 3.0
#前端  #PCIE  #译文 
欢迎参与 《Mindshare PCI Express Technology 3.0 一书的中文翻译计划》 https://gitee.com/ljgibbs/chinese-translation-of-pci-express-technology
摸鱼范式II芯片验证之路 More
安全地启动sequence
#验证  #验证  #建议 
uvm从1.1d到1.2再到IEEE1800.2,有了很多变化。尤其是从1.1d到1.2,在objection的使用上有了一些关键性变化。
摸鱼范式II芯片验证之路 More
写不好的SDC约束
#后端  #综合  #sdc约束 
sdc约束中的不常见问题记录一下
数字IC小站 More
基于 FPGA 的压缩算法加速实现
#FPGA  #压缩算法  #静态哈夫曼编码  #LZ77 算法 
该项目中,计划实现对文件的压缩及解压,同时优化压缩中所涉及的信号处理和计算密集型功能,实现对其的加速处理。最终目标是证明在充分并行化的硬件体系结构 FPGA 上实现该算法时,可以大大提高该算法的速度。
FPGA技术江湖 More
基于 FPGA LMS 算法的自适应滤波器设计
#FPGA  #自适应滤波  #LMS 算法  #HLS 
自适滤波器是一种变系数的数字滤波器,由于其能够根据算法动态调整滤波系数,始终能够保持一个较好的滤波性能,目前已经在自适应噪声对消、移动通信信道均衡、自适应信号增强以及信号预测等领域得到了广泛的应用。
FPGA技术江湖 More
SystemVerilog | UVM | 精讲RAL寄存器模型基础
#验证  #SystemVerilog  #UVM  #芯片验证 
RAL(Register Abstract Layer,寄存器抽象层),通常也叫寄存器模型,顾名思义就是对寄存器这个部件的建模。本文要介绍的内容,包括对UVM寄存器模型的概述,如何构建寄存器模型,以及如何将寄存器模型集成到验证环境中。
芯片学堂 More
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将展开介绍寄存器模型访问上的一些话题,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂 More