成员列表
期刊
专栏
招聘
知识付费
搜索
RSS订阅
EDA在线
IC问答
成员出版图书
书单
首页
成员列表
期刊
专栏
招聘
知识付费
搜索
RSS订阅
EDA在线
IC问答
成员出版图书
书单
IC技术圈
致力于建立知识、人的联系
IC技术圈搜索
From 期刊、问答、专栏等
搜索一下
【期刊】入行数字IC
验证
后会做些什么?
#
验证
#IC
验证
#flow #EDA #FPGA #Emulator
本文介绍
验证
在第一年内所要学习的内容
ICer消食片
【专栏】【优秀文章评选活动】IC
验证
-FPGA
验证
经验分享
以FPGA军用三方软件
验证
为例,对IC
验证
分支进行详细介绍
IC技术圈官方
【期刊】SystemVerilog | UVM | RAL寄存器模型操作图鉴
#
验证
#SystemVerilog #UVM #芯片
验证
本文将展开介绍寄存器模型访问上的一些话题,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
【专栏】dft文档
Synopsys dft compiler、atpg生成和
验证
。Tessent SCAN、ATPG、MemoryBIST等。
EDA文档
【期刊】SystemVerilog中的event到底怎么回事儿
#
验证
#event #triggered
在SystemVerilog构建测试平台时,经常会用到event来实现多个进程之间的同步处理,例如一个进程处于等待某事件发生,当该事件发生了那么对应的进程将会被执行。但是有时候我们会遇到明明已经触发了事件,为什么对应的进程还处于挂起等待状态呢?该文将示例说明。
硅芯思见
【期刊】什么是X态传播?
#
验证
#设计 #X态 #VCS #Xprop
X态的存在使得仿真结果要么太过于乐观,要么太过于悲观。
ICer消食片
【期刊】SystemVerilog | UVM | 深入Phase机制,看懂Phase机制实现原理
#
验证
#SystemVerilog #UVM #芯片
验证
本文将在前面介绍Phase机制基本内容的基础上,到源代码中去了解Phase机制的实现方式,并且将尽可能以可视化的方式来呈现Phase机制源码的实现逻辑,属于Phase机制进阶篇。
芯片学堂
【期刊】你知道get_coverage和get_inst_coverage得到的都是什么覆盖率吗
#
验证
#SystemVerilog #get_coverage #get_inst_coverage
在SystemVerilog中提供了大量的用于获得coverage的方法,方便了用户进行功能覆盖率的收集,比较常见的主要有:$get_coverage、get_coverage和get_inst_coverage,那么这几种get coverage得到的到底是什么coverage彼此之间有什么差异呢?本文将通过示例说明。
硅芯思见
【期刊】UVM实战[三]
#
验证
#UVM
摸鱼范式
【期刊】形式化
验证
简介及fm_shell脚本编写指南
#
验证
#formality #lec
形式化
验证
是一种基于数学分析方法的
验证
技术,它通过算法引擎建立模型,对待测设计的状态空间进行穷尽分析的
验证
。在芯片设计中,形式化
验证
主要用于综合前后的等价性检查和RTL设计的功能
验证
。形式化
验证
的优势在于它可以提供精确、确定的结论,帮助开发人员减少迭代设计和测试的时间和成本 。
芯想事珹
【专栏】
验证
相关文档分享
ieee1800-2023,sv语言参考,绿皮书第三版,uvm类库手册,uvm cookbook
EDA文档
【期刊】SVA概述
#
验证
#sva
这里,你可以对SVA有一个快速的了解,但是需要你自己coding下文中示例加深印象
硅芯思见
【期刊】SystemVerilog | UVM | Phase机制基础
#
验证
#SystemVerilog #UVM #芯片
验证
Phase机制在基于UVM的仿真中尤其重要,它是整个仿真周期中的同步机制。本文将介绍Phase的基础部分,包括Phase的概念、框架和应用实例。
芯片学堂
【期刊】
验证
仿真提速系列--认识“时间”与平台速度定量分析
#
验证
#VCS #SystemVerilog
…我们今天围绕“时间”这个主题,首先讨论了
验证
仿真中的“3个时间”建立了基础认知,接着明确了平台提速到底要提哪个时间?最后以vcs工具举例了怎么收集和分析相关信息…
杰瑞IC验证
【期刊】低功耗
验证
笔记:Verdi的Power-Aware Debug
#
验证
#
验证
#低功耗 #UPF
介绍如何使用verdi进行低功耗仿真
数字验证笔记
【期刊】UVM
验证
TinyALU项目:1 - Introduction and DUT
#
验证
#UVM #systemverilog #IC
验证
《The UVM Primer》 是一本UVM的入门书籍,由Ray Salemi编写出版,并且是免费开源的项目,代码可以在GitHub上下载。
ICer消食片
【期刊】华为夏晶大神: 总结我的思路,如何在
验证
中发现和定位Bug
#
验证
发现Bug,发现所有的Bug,或者证明没有Bug,是
验证
存在的唯一目的。无论任何
验证
语言、任何
验证
环境、任何
验证
方法学、任何Feature List,都是为了达成这一目的而使用的方法,或者所手段。偏离了这一目的任何工作和努力,都是屎、大便、Shit。
芯片设计验证
【期刊】SystemVerilog中关于function、task、automatic、input、output、inout和ref问题
#
验证
#SV #task #function
几个简单的例子,加深对function和task的理解。
ICer消食片
【期刊】芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端 #SystemVerilog #UVM #芯片
验证
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂
【期刊】几个常见的Verilog-AMS model
#
验证
#verilog-ams #verilog-a #ams #
验证
分享几个常见的verilog-ams model, 例如电阻,电容,电感等。
芯片设计验证
【期刊】Verilog-AMS-基本语法
#
验证
#AMS #混仿
做数模混合
验证
,常打交道语言就是Verilog-A和Verilog-AMS,列举了两者的异同点,可以说Verilog-A是Verilog-AMS的子集。本文及后续文章不再区分Verilog-A和Verilog-AMS,均指Verilog-AMS,下面介绍Verilog-AMS基本的语法。
芯片设计验证
【期刊】数字芯片设计
验证
-秋招指南
#求职就业 #求职 #设计 #
验证
#攻略
保姆级备战秋招指南
摸鱼范式
【期刊】SV中import和include的区别
#
验证
#SV
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,这篇文章主要介绍SV中import和include的区别
Andy的ICer之路
【期刊】SystemVerilog | UVM | 精讲RAL寄存器模型基础
#
验证
#SystemVerilog #UVM #芯片
验证
RAL(Register Abstract Layer,寄存器抽象层),通常也叫寄存器模型,顾名思义就是对寄存器这个部件的建模。本文要介绍的内容,包括对UVM寄存器模型的概述,如何构建寄存器模型,以及如何将寄存器模型集成到
验证
环境中。
芯片学堂
【专栏】常见数字IC
验证
技术介绍
数字
验证
有两种技术,动态仿真和静态形式
验证
(动态仿真也可以实时用sva来检查)。任何一种
验证
技术都不能解决全部问题,需要相互补充,发挥每个
验证
技术的长处,才能在项目中得到最好的效果。
ExASIC
【期刊】硅芯思见:【165】SystemVerilog中的关联数组那些事儿
#
验证
#SystemVerilog #关联数组
在SystemVerilog中,我们知道可以使用动态数组实现数组元素个数的动态分配,即随用随分,其中元素在数组中的索引是连续的,但是如果要实现数组元素访问时不采用连续索引的话,采用动态数组和定宽数组就不是很合适,容易造成空间的浪费,为此在SystemVerilog中引入了关联数组(Associative Array),实现了一种查找表,该查找表的索引可以根据用户需要指定,不限于整形,其内存空间直到使用时才会分配,即只针对写入的元素分配存储空间,其使用方式类似于Perl等其他语言中的哈希结构。关联数组与其他数组表面上的不同主要体现在数组的索引上,非关联数组的索引一般都是整型变量,而关联数组的索引可以是任何的数据类型。下面我们将通过示例说明关联数组是如何定义和常用的方法如何使用。
硅芯思见
【期刊】2021年,IC
验证
还值得转吗?
#
验证
#IC
验证
#就业 #求职 #芯片 #秋招
近几年,IC行业成为了就业的热门,国内一线大厂为优秀的应届毕业生开出了40万的年薪,赚足了眼球。对于材料,化学等专业的学生来说,转行IC成为了趋势。就2021年的现状来看,IC
验证
还值得转吗?
OpenIC
【期刊】高薪必备 | 数字IC后端项目LVS案例
#后端 #数字IC后端入门 #物理
验证
PV #LVS案例
数字IC后端项目LVS案例
吾爱IC社区
【期刊】+vcs+initreg+random、xprop、后仿讨论总结
#
验证
萌新来啦
【期刊】[systemverilog]reg、wire、var和logic傻傻分不清
#
验证
#SystemVerilog #语法 #两态四态
数字IC小站
【期刊】中芯国际Q2财报亮眼,半导体下半年走向何方?
#前端 #IC
验证
#就业 #求职 #芯片 #秋招 #薪资
近日,各大半导体公司纷纷发布了第二季度的财报,而备受瞩目的国内半导体制造领域“一哥”中芯国际也在8月5日公布了财报:第二季度销售收入超13亿美元,毛利率超30.1%,各项财务指标均好于预期。在财报公布后,中芯国际全年营收成长和毛利率上调为约30%。
OpenIC
【期刊】
验证
仿真提速系列--SystemVerilog编码层面提速的若干策略
#
验证
#SystemVerilog #UVM
随着设计复杂度和规模增加,
验证
平台复杂度跟着增加。
验证
平台的仿真速度问题成为
验证
过程中一个重要问题…
杰瑞IC验证
【期刊】晶振知识补充及Windows时间服务介绍
#
验证
#晶振 #时间同步 #网络时间协议 #NTP
使用LTspice绘制晶振阻抗特性曲线;了解晶振的另一个重要参数-频差;最后通过Windows/Linux操作系统时间服务了解电子设备如何获得并保持相对准确的时间。
阿辉说
【期刊】人人都会用到,但是大部分人不清楚是什么的“神秘空间”
#
验证
#SystemVerilog
杰瑞IC验证
【专栏】subprocess在IC
验证
中的应用
`subprocess.Popen`相比于`os.system()`多了很多可控制的参数,用起来更灵活。这里记录两段代码,一段是超时退出仿真,另一段代码是检测到Ctrl-C后自动结束所有的仿真进程。
ExASIC
【期刊】SystemC Verification Randomization
#
验证
#SystemC #随机约束
SystemC Verification作为SystemC 的
验证
库,引入约束随机描述,可以实现基于C++/SC的Constraint Rand Verification.
验证芯发现
【期刊】UVM
验证
TinyALU项目:7 - Static Methods and Variables
#
验证
#
验证
#变量 #方法
UVM
验证
TinyALU项目第七章
ICer消食片
【期刊】低功耗
验证
笔记:一个UPF低功耗例子
#
验证
#
验证
#低功耗 #UPF
通过一个例子介绍UPF文件的编写规范与测试平台的修改
数字验证笔记
【期刊】【VCS版】基于UVM的UART
验证
环境
#
验证
#uart #实战 #uvm
摸鱼范式
【期刊】研究生导师是路桑,找工作是一种什么样的体验?
#求职就业 #经验分享
竹秋一的研究生导师是路科
验证
创始人路桑老师。作为路桑的学生,有一些独特的经历和经验分享给大家。
数字IC打工人
【期刊】Verilog仿真事件队列
#
验证
#Verilog
精进攻城狮
【期刊】UVM
验证
TinyALU项目:2 - A Conventional Testbench for the TinyALU
#
验证
#UVM #systemverilog #IC
验证
在搭建UVM
验证
环境之前,我们先从SystemVerilog
验证
平台开始,随后一步一步地过渡到完整的UVM
验证
平台。
ICer消食片
【期刊】【资料库】数字ic前端后端
验证
#前端 #资料库 #前端 #后端 #
验证
分享一些从网上搜集的数字ic前端后端
验证
学习资料,内含UVM学习、版图实训、电路设计、Verilog RTL实践、VCS学习、SRAM、Perl、DFT了解,以及一些经验之类的分享
电子狂人
【期刊】SystemVerilog中unpacked数组的assignment pattern
#
验证
#SystemVerilog #Assignment
本文示例SystemVerilog中unpacked数组的赋值方式
硅芯思见
【期刊】【UVM COOKBOOK】Sequences||Sequence API
#
验证
#uvm #uvm_cookbook
摸鱼范式
【期刊】
验证
阶段思考——“清单思维”
#
验证
阿辉说
【期刊】SystemVerilog中的生命周期lifetime
#
验证
#SystemVerilog #lifetime
本文通过示例带着读者一并了解SystemVerilog中的生命周期到底是怎么回事,并且给出了对应的使用总结
硅芯思见
【期刊】系统设计精选 | 基于FPGA的扩频通信系统设计(附代码)
#FPGA # 扩频通信系统设计 # 编解码 # 扩频解扩
本篇利用本原多项式产生伪随机序列用作扩频,通过同步模块对扩频后的信号进行捕获,通过直接序列解扩模块进行解扩。本篇给出了编解码、扩频解扩、同步的整体方案,使用Quartus实现功能,并结合Matlab和ModelSim对模块进行调试和测试,实现扩频通信模块的搭建仿真,
验证
其设计的正确性。
FPGA技术江湖
【期刊】C case和UVM TB的交互,tube_print, event_sync
#
验证
#tube #soc #UVM
C代码调用printf时,打印信息显示在哪个IO上,由pringf调用的底层代码决定;软件C中的printf默认在terminal上打印;对于嵌入式C, 运行在开发板上的code,可以借助target自己的显示IO,如LCD屏,将打印信息直接显示在LCD屏上;如果target没有显示IO,也可以retarget到host端的terminal上;simulation仿真时,运行的C代码需要借助主机host的IO,将打印信息显示在simulation terminal上;常见的方式有以下几种:
IC Verification Club
【期刊】SystemVerilog中clocking block中的输入偏差和输出偏差
#
验证
#SystemVerilog #Interface #Skew
示例说明SystemVerilig中interfae中clocking block的输入输出偏差
硅芯思见
【期刊】FPGA图像处理专题课视频回顾
#FPGA #FPGA图像处理 #FPGA基础算法实现 #学习视频 #
在当前图像处理算法研究已经很成熟的背景下,提高图像处理的时效性有很大的应用背景。随着微电子技术的高速发展,FPGA为数字图像信号处理在算法、系统结构上带来了新的方法和思路。本视频通过讲解FPGA的内部结构,基础的图像处理的算法,结合matlab共同实现FPGA处理图像算法的
验证
方法等,使学习者具备在FPGA上应用图像处理算法的能力。
FPGA技术江湖
【期刊】你真的了解“随机”这两个字意味着什么吗?
#
验证
#随机
你真的了解“随机”这两个字意味着什么吗?“随机”这两个字,隐藏着哪些工作需要做呢?
杰瑞IC验证
【期刊】酒酒爆肝80道大厂高频面试题
#
验证
#IC
验证
#IC设计 #面试面经
酒酒总结的IC设计问题(比较全面)
酒酒聊IC编程
【期刊】常见数字IC
验证
技术介绍
#
验证
#EDA
数字
验证
有两种技术,动态仿真和静态形式
验证
(动态仿真也可以实时用sva来检查)。任何一种
验证
技术都不能解决全部问题,需要相互补充,发挥每个
验证
技术的长处,才能在项目中得到最好的效果。
ExASIC
【期刊】SystemVerilog类中方法定义在类外的那些事儿
#
验证
#SystemVerilog #extern#method
在SystemVerilog中所有类的方法都可以定义于类内,也可以定义于类外。一般将比较复杂的方法的实现放在类外,这样可以增加代码的可读性,而比较简单的方法在类内实现。本文通过示例说明外部方法使用注意事项
硅芯思见
【期刊】联发科技2021校招IC笔试题全部解析【数字IC设计
验证
】【MTK笔试】
#求职就业 #秋招 #数字IC #FPGA
联发科技2021校招数字IC笔试题深度解析
FPGA探索者
【期刊】芯片行业哪些人能达到年薪百万?
#求职就业 #
验证
#IC
验证
#就业 #求职 #芯片 #秋招 #薪资 #前端设计
芯片行业薪资大揭秘,看看你的上限在哪里!
OpenIC
【期刊】
验证
那些事儿
#
验证
#
验证
摸鱼范式
【期刊】UVM常考概念题
#求职就业 #笔试面试 #
验证
#UVM
数字IC小站
【期刊】手把手教你如何使用SV宏
#
验证
#SV宏#macro
IC验证分享圈
【期刊】手机直连卫星背后的关键技术
#前端 #通信
“手机直连卫星”是近两年来的技术热点,国内外相关厂商已在进行设备研制。从工程技术角度讲,面对巨型星座组网及与地面设备流畅的通信,依然存在不小挑战。当前,5G-NTN体制的手机直连卫星方案初步按照3GPP R17/18协议进行,部分厂家已进行地面模拟
验证
及试验星在轨
验证
阶段。那么,这其中到底有哪些关键技术,以及如何确保卫星上天后,终端能够稳定接入卫星并进行通信,这是工程师们十分关心的问题。
FPGA算法工程师
【期刊】科普 | 人工智能(AI)芯片大乱斗
#前端 #
验证
#就业 #求职 #芯片 #秋招 #薪资
OpenIC
【期刊】使用Verdi的小技巧(五)
#
验证
#verdi
在做芯片级或者数模混合的仿真中,经常需要调试特殊驱动的信号。比如常见的总线 I2C 中的 SCL 和 SDA,这俩都是开漏驱动类型,总线在系统级可能会有多个器件来驱动。这个时候如果能在波形上显示驱动强度,而不是简单的四值电平(0、1、X、Z),对于调试工作会有更直观的帮助。
icsoc
【期刊】SystemVerilog中有界无界的队列
#
验证
#SystemVerilog #queue
示例SystemVerilog中有界和无界队列的使用注意事项
硅芯思见
【期刊】SystemVerilog中相互“嵌套”的队列和动态数组
#
验证
#SystemVerilog #Queue #Dynamic array
本文示例实现队列和数组之间的相互嵌套存储
硅芯思见
【专栏】【优秀文章评选活动】静态对象中的随机函数特性(一)
为什么会探究讨论下静态对象中随机的事情呢?因为多时钟域同步模块的随机仿真是一个在工程交付中常常隐藏 bug 的地方,所以希望对静态对象中构建可控随机进行讨论。而这个问题本身是一个挺庞大的话题,而我也没有太多的经验因此主要以探究和谈论为主。说到对跨异步模块和逻辑进行功能仿真,很多地方都提到了在模块里引入随机延时的思路,这个思路没有什么问题但是引入的随机却很容易让我们掉坑里。在
验证
环境中我们见得最多的随机函数有两个:$random 和$urandom,对于这两个函数在环境中的随机特性相信大家都是非常熟悉,并且牢记了应该用$urandom 进行随机而不要使用$random。但是一旦在静态对象中(环境是automatic 记得吧)比如 module、interface 中使用这两个函数,他们的特性就和在环境中不一样了。
IC技术圈官方
【期刊】SystemVerilog与功能
验证
方法学
#
验证
#FPGA# #UVM#SystemVerilog#
介绍SyetemVerilog与功能
验证
技术和方法学,为有效提高
验证
效率找方法。
科学文化人
【期刊】SystemVerilog中program提前结束仿真
#
验证
#SystemVerilog #program
在SystemVerilog中,增加了program...endprogram用于将测试代码集成在其中,从而区分RTL设计代码和测试平台代码在time-slot中执行的区域,其与module...endmodule用法类似,但是还是有些差异,如果使用不当可能会出现一些不期望的问题,本文将主要针对program提前结束仿真的行为与module进行对比说明。
硅芯思见
【期刊】数字IC设计知识结构
#前端 #数字IC知识结构 #前端 #后端 #
验证
IC 定义,芯片各个节点分工,数字IC设计全流程:前端设计 、物理实现 和功能
验证
,总结知识结构。
FPGA自习室
【期刊】在网表中,触发器是什么样的?
#后端 #dff #netlist #eco
在芯片设计阶段末期,因为schedule的需要,往往出现的情况是RTL code已经freeze了,但是相关的
验证
还没完成,这时候如果再发现code有问题,需要修改的话,就要直接修改网表(netlist),而不是直接修改RTL code那么简单了。本文介绍了几种always RTL和综合netlist的对比。
数字设计课堂
【期刊】Systemverilog中的Process(1)--产生进程的方式
#
验证
#SV
杰瑞IC验证
【期刊】FPGA与CPU进行数据通信有哪些方法?
#FPGA #CPU通信
在进行FPGA设计时候,除了一些算法\
验证
等应用,其他情况下都需要FPGA和控制器进行数据交互(通信)。文章介绍了低速接口SPI、UART,高速接口PCIe、SRIO等。
OpenFPGA
【期刊】【芯片
验证
】UVM源码计划(一)从component::type_id::create()开始看起
#
验证
#UVM
众所周知在uvm环境中,uvm_component的创建需要通过component::type_id::create()而非new()方法,各种工具书都对此进行了解释,核心就是通过该方法来使用factory机制。
芯时代青年
【期刊】当我们做后仿时我们究竟在仿些什么(四)
#
验证
#后仿 #门级仿真
icsoc
【期刊】【知识干货】UVM快速入门教程 | The UVM Primer +ALU项目代码
#
验证
#UVM
简单的UVM项目,带你快速入门
数字IC打工人
【期刊】FPGA STA(静态时序分析)
#FPGA #静态时序分析 #时延要求 #
验证
静态时序分析简称STA,它是一种穷尽的分析方法。它依照同步电路设计的要求。依据电路网表的拓扑结构,计算并检查电路中每个DFF(触发器)的建立和保持时间以及其它基于路径的时延要求是否满足。STA作为FPGA设计的主要
验证
手段之中的一个,不需要设计者编写测试向量,由软件自己主动完毕分析,
验证
时间大大缩短,测试覆盖率可达100%。
FPGA技术江湖
【期刊】IC研发常用英文术语缩写
#
验证
#前端 #后端 #求职就业
记录一些常用的IC术语
ICer消食片
【期刊】浅析VERDI和VCS联合仿真流程
#
验证
#VCS #Verdi
VCS、Verdi介绍,Linux下VCS+Verdi仿真demo演示
芯想事珹
【期刊】APB总线的读写操作与时序规范
#前端 #总线 #amba #
验证
APB总线源自AMBA2.0,即ARM总线标准的2.0版本。AMBA目前已经更新到5.0版本,APB总线的功能也得到了扩充。APB的后续版本均是对APB初代版本的简单扩展,业界最常用的仍然是APB初代版本,即APB2.0。
芯想事珹
【专栏】用Python读Excel文件
在IC设计、
验证
、后端中经常会用Excel来做配置文件、寄存器表、定义后端SDC参数等,不管Excel好不好用,但学习成本低啊。
ExASIC
【期刊】如何写出高覆盖率的Verilog代码?
#前端 #ASIC #Verilog
芯片前端工程中,测试
验证
的核心理念:以提高覆盖率为核心。
硅农
【期刊】generate常用用法
#
验证
#generate
本文示例generate常用用法
硅芯思见
【期刊】看看这个"UVM陷阱",你是不是也遇到过
#
验证
#数字芯片
验证
#UVM
一次uvm环境调试中的踩坑记录。
验证芯发现
【期刊】酒酒拿下四五十万的真实大厂面试经历
#
验证
#IC
验证
#IC设计 #面试面经
酒酒提前批面试面经总结(大概30家公司面经总结,zeku,展锐,联发科,华为,寒武纪,地平线,商汤,奥比中光,集创北方。。。。。。)
酒酒聊IC编程
【期刊】SystemVerilog与功能
验证
方法学
#FPGA #FPGA #UVM #SystemVerilog #芯片
介绍SystemVerilog与功能
验证
技术和方法学,为有效提高
验证
效率找方法。
科学文化人
【期刊】【Verdi系列-1】Verdi环境配置、生成波形的“三方法”和“八奇技”
#
验证
#verdi
Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查,Verdi使用情形主要是IC
验证
工程师(Debug),IC设计工程师(Review)。总之,Verdi很重要,作为一名ICer要是不会用Verdi,确实说不过去。
芯片扫地僧ICer
【期刊】2021秋招面经——华为
#
验证
#秋招 #求职 #面试 #笔试
秋招华为面试经验!
ICer消食片
【期刊】字节内推!薪资40+达不溜!
#求职就业 #秋招 #IC设计
验证
#实习 #内推
字节内推!
Andy的ICer之路
【期刊】数字IC设计与数字IC
验证
哪个好?
#
验证
#IC设计#IC
验证
#
详细解读了数字IC设计与数字IC
验证
的区别、前景等等
ZYNQ
【期刊】基于64B66B编码的自定义协议phy层上板测试(高速收发器二十三)
#FPGA #高速收发器
本文对64B66B自定义PHY进行了总体仿真和上板测试,
验证
了高速收发器模块、发送模块、接收模块的功能正确性。设计了测试数据生成模块,用于上板调试和控制尾端掩码信号。顶层模块包含两个高速收发器和自定义PHY层模块,通过光纤回环传输数据。仿真
验证
了接收端同步模块和收发数据的时序正确性。上板测试中,通过ILA调试信号,测试了不同尾端数据掩码情况下的收发数据,结果表明设计正确。
数字站
【期刊】谈谈Verilog和SystemVerilog简史,FPGA设计是否需要学习SystemVerilog
#FPGA #verilog #systemverilog
SystemVerilog标准(SV-2009)发布距今已近十余年,在
验证
领域已经大放异彩,但是在设计领域(尤其FPGA领域)使用的还是比较少,虽然市场上已经发布了几本相关书籍,但是在使用上或者学习上还是有点缺陷的,这篇文章是SystemVerilog建模及仿真系列教程的第一篇,先去了解一下Verilog和SystemVerilog发展简史,从中很容易得出FPGA设计是否需要学习SystemVerilog。
OpenFPGA
【期刊】FPGA时序分析、约束专题课视频回顾
#FPGA #FPGA时序分析 #FPGA时序约束 #学习视频
通过对设计的全面时序分析,使您能够对电路性能进行
验证
,识别时序违规,并推动fitter的逻辑布局,从而满足您的时序设计目标。本视频从基础的数字电路出发,逐步引入时序的概念,了解时序的重要性,理解时序的基本分析方法,掌握时序约束和时序分析的方法。通过对电路的改善,使电路能够满足时序要求。
FPGA技术江湖
【期刊】物理
验证
(PV)系统课专辑
#后端 #后端 #PnR #PV #STA
Physical Verification领域,系统性地介绍文章专辑!
RTL2GDS
【期刊】fsdb转vcd的方法
#
验证
#fsdb #vcd
虽然VCD波形用得很少了,但还是有极少数情况需要。比如给模拟电路做vector,fsdb版本过新打不开,或者单纯没有verdi,或者是为了与开源工具交互……下面介绍了用verdi自带的工具fsdb2vcd来转换VCD的方法。
ExASIC
【期刊】当我们做后仿时我们究竟在仿些什么
#
验证
#后仿
icsoc
【期刊】Formality流程
#前端 #数字IC #EDA #Formality
formality是S家的形式
验证
的工具,形式
验证
故名思意是完成一个表面逻辑的
验证
,通过导入rtl代码和DC综合后的门级网表,
验证
前后逻辑是否一致,是否DC将部分逻辑消除了。
IC摸鱼仔
【期刊】Vim的基本使用方法
#软件 #gvim #vim #编辑器
Vim是做数字IC设计、
验证
最流行的编辑器,没有之一。下面介绍一下基本使用方法。
ExASIC
【期刊】我写过最简单的UVM
验证
环境
#
验证
#uvm
为了向身边初学的小伙伴展示UVM最简单的工作机制,曾经写过的一个简单的令人发指的小demo,记录一下,送给初学的朋友。
芯片验证日记
【期刊】SV开源库svlib学习
#
验证
#sv #
验证
开源库svlib的学习使用
摸鱼范式
【期刊】综合网表带SDF前仿真
#
验证
#后仿
网表布局布线前,未进行时钟树综合,保持时间尚未修复,此时我们也可以由DC/PT写出SDF文件进行综合网表仿真,但是此时的SDF文件中的保持时间为假的,可能导致出发器违例造成X态传播,造成仿真失败。本文介绍了两种方法来解决这个问题。
全栈芯片工程师
【期刊】数字IC合集(超级全面,持续更新)
#
验证
#设计 #
验证
#总线 #FIFO #SV #UVM #软件 #工具
初学者必看的合集!
ICer消食片
【期刊】安全地启动sequence
#
验证
#
验证
#建议
uvm从1.1d到1.2再到IEEE1800.2,有了很多变化。尤其是从1.1d到1.2,在objection的使用上有了一些关键性变化。
摸鱼范式II芯片验证之路
【期刊】[十四]Cracking Digital VLSI Verification Interview
#求职就业 #笔试面试 #
验证
#UVM
摸鱼范式
【期刊】我的第三个UVM代码——把testcase与driver分开
#
验证
#UVM
在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的
验证
环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的
验证
架构,可以根据自己的需要进行裁剪。
ExASIC
【期刊】SystemVerilog面试题(26-30)
#求职就业 #笔试面试 #
验证
#SystemVerilog
数字芯片实验室
【期刊】用NanDigits GOF LEC做一致性检查
#
验证
#LEC #EDA
录了一段视频,来体验一下用NanDigits GOF LEC做LEC。
ExASIC
【期刊】芯片(IC)在5-10年后还会像现在这样火吗?
#前端 #半导体 #资讯 #芯片 #秋招 #薪资 #前端设计
数字IC设计是一个很大的范畴,很多概念也容易混淆,对于应届生来说,不仅要面对选设计、
验证
、DFT职位方向问题,同样也要考虑不同芯片的市场前景。
OpenIC
【期刊】【经验分享】毕业后做了一年
验证
工程师以后,他有了这些经验
#
验证
摸鱼范式II芯片验证之路
【期刊】UVM
验证
TinyALU项目:4 - Object-Oriented Programming (OOP)
#
验证
#UVM #systemverilog #IC
验证
介绍SystemVerilog和OOP
ICer消食片
【期刊】IC
验证
工程师高效战斗手册---提问之道(下篇)
#
验证
#职场 #效率
杰瑞IC验证
【期刊】我的第一个UVM代码——Hello world
#
验证
#UVM
ExASIC
【期刊】SoC Verification Flow
#
验证
#SoC #流程
ICer消食片
【期刊】【经验分享】【面经】Bob哥经验分享——材料人逃离Fab之路
#求职就业 #求职#材料专业
本科末流985材料成型,硕士Top5材料工程,学习方向是数字芯片前端
验证
,做了新思的router的
验证
的开源项目,参加了第四届集创赛抱学长大腿拿了华东赛区三等奖(NAND Flash controller toggle模式的设计),在上海AMD做为DV intern实习了一年,一篇IC无关的材料SCI一作,Bob,也是从材料方向转行来的他,不知道有什么特殊的见解呢?
数字IC打工人
【期刊】关于UVM driver的幕后||你知道get_next_item在哪里吗?
#
验证
#UVM #源码
我们都知道,driver要和sequencer相连,然后通过seq_item_port接口的get_next_item方法和sequence之间进行交互。 但是,你有没有去查过get_next_item这个方法究竟是哪个类提供的呢?
摸鱼范式II芯片验证之路
【期刊】芯片可靠性介绍
#前端 #IC
验证
#就业 #求职 #芯片 #秋招
OpenIC
【期刊】使用PlantUml绘制逻辑时序图/波形图
#
验证
#时序图 #波形图 #plantuml
Plantuml不仅可以绘制类图,还可以绘制数字电路中的逻辑时序图/波形图,时序描述格式的易用性好,可读性强。Plantuml开源可用,AgileWave已支持PlantUml在线画图,Git源码参见:https://github.com/cc-hook/agileWave
验证芯发现
【期刊】VC Spyglass CDC(二)常见的CDC处理方法
#
验证
#VC Spyglass #CDC
EDA厂商提供golden的CDC处理单元,Synopsys的Building Block IP提供如下解决方案;
IC Verification Club
【期刊】
验证
面试攻略专辑
#求职就业 #笔试面试 #
验证
摸鱼范式
【期刊】IC
验证
工程师高效战斗手册--如何制定高效的
验证
方案
#
验证
#提效
当我们完成了前期的充分学习,对
验证
对象有所理解、有了初步
验证
思路、提取出了
验证
feature,就到了制定完善具体的
验证
方案了,
验证
方案如同作战方案,是行动高效的保证,从作战意识到作战策略,都很重要。如何才能制定出高效的
验证
方案呢?
杰瑞IC验证
【期刊】EasySim: 一个简单的仿真脚本 v1.1
#自动化 #
验证
#python
EasySim v1.1版支持: - 切换仿真器irun、xrun、vcs,在conf.json里指定。 - 支持打开Verdi,并支持只打开RTL。 - 增加了options可以仿真fpga代码,支持多顶层。 - 增加了dryrun,只打印最终的cmd,但不运行,方便调试脚本本身的错误。 - 支持灵活的timescale,功能仿真用粗精度,后仿用高精度,支持从conf文件里覆盖timescale。
ExASIC
【期刊】SystemVerilog中超级英雄super
#
验证
#systemverilog#super
SystemVerilog的OOP编程中,经常需要使用super这个关键字,主要用于子类访问父类中的属性和方法。当然并不是在所有情况下都需要使用super,一般情况下如果子类对于父类中的属性或者方法进行overriden,那么此时如果要引用父类中被overriden的属性或者方法时,就需要明示super。
硅芯思见
【期刊】UVM harness:可复用的interface连接方法
#
验证
分享一种使用bind和uvm_config进行interface连接复用的方法:UVM harness.
验证芯发现
【期刊】【剑指offer2023】数字IC校招指南 | 设计
验证
通用
#FPGA #校招 #
验证
#设计
摸鱼范式
【期刊】Class数据封装 | macro_[private/protected/public]_property
#
验证
#参数宏 #OOP
面向对象中的数据封装,通常不会直接声明为public,而是声明为private或者protected,并伴随定义public的Set和Get方法,以此限制参数的写入和读取权限。Systemverilog/C++的class中,可以使用参数宏,进行数据和配套操作方法的快速声明。
验证芯发现
【期刊】入行数字IC
验证
的一些建议
#求职就业 #经验分享#IC
验证
分享成功入行SoC
验证
工程师的自学个人经验
ICer消食片
【期刊】Formal Verification (五) coverage、sign-off flow
#
验证
#formal
formal和simulation一样,也是基于coverage-driven的
验证
方式;针对formal的coverage metrics,可以分为以下几种(不同工具定义略有不同,本文以Jaspergold为例):code coverage、functional coverage。以覆盖率作为sign-off的标准,各家工具略有不同,但大体一致,分为6个步骤……
IC Verification Club
【期刊】SystemVerilog | UVM | RAL寄存器模型操作图鉴
#
验证
#SystemVerilog #UVM #芯片
验证
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
【期刊】新板卡发布-复旦微带AI处理的FPGA原型
验证
板上市
#FPGA #FPGA板
FMQL100TAI900 主芯片,SDI 视频输入接口,HDMI OUT 视频输出接口,PCIEX4,FMC,千兆以太网接口,TF 卡,USB Uart 接口,JTAG 接口,SFP 接口,SMA,CAN 接口等,适用于 AI 推理,高速数据交换,数据处理,视频处理,以太网通信,工业控制等场景。
FPGA技术联盟
【期刊】SystemVerilog中传说的DPI
#
验证
#systemverilog#dpi
本文示例DPI-C的基本用法
硅芯思见
【期刊】用SV写一个蒙哥马利算法的参考模型
#
验证
#参考模型 #SystemVerilog
摸鱼范式
【期刊】SystemVerilog Assertion精华知识
#
验证
#Assertion #断言 #SVA
SystemVerilog中引入的SVA在协议检查和协议覆盖率方面可以发挥重要的作用,它们更接近设计信号,通过将这些断言直接连接到设计,一方面便于管理,另一方面仿真性能可以得到极大的提高。SVA语言本身非常简洁,且提供了很好的时序控制能力。
专芯致志er
【期刊】怎么在sequence中调用agent中的函数以及如何快速实验你的想法?
#
验证
#UVM #SV
通过本篇文章主要想送给广大
验证
初学者和爱好者2件礼物:“一条鱼”和“一只鱼竿”。希望大家连吃带拿,开开心心地。
杰瑞IC验证
【期刊】你的case真的pass了吗?
#
验证
#UVM
杰瑞IC验证
【期刊】数据存储和传输的大小端问题
#
验证
#前端
吃茶叶蛋的时候,你会先磕破鸡蛋比较小的那一端,还是比较大的那一端?
杰瑞IC验证
【期刊】【UVM】 layering sequence for layered protocol
#
验证
#UVM
IC中常见的如PCIe,USB,UFS等都是分层传输的协议。对于这些高速IP,其
验证
环境通常也采用分层结构,方便扩展和重用。
IC Verification Club
【期刊】SystemVerilog | 脱离代码谈芯片
验证
关键指标:覆盖率
#
验证
#SystemVerilog #UVM #芯片
验证
验证
覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道
验证
已经完成?” 实际上,就算
验证
覆盖率达到了100%,从逻辑上也不能保证当前的
验证
是完备的。只不过,100%的
验证
覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂
【期刊】动态数组的创建不一定非要new[]
#
验证
#SystemVerilog #dynamic_array
通过示例说明动态数组的一些使用方法
硅芯思见
【期刊】硅芯思见:问芯吾跬(IC设计
验证
常见问题汇总一)
#
验证
#常见问题
设计
验证
笔试常见问题汇总1
硅芯思见
【期刊】更强、更快、更实用 EpicSim,全球速度最快的开源数字仿真器
#
验证
#开源 #EDA
EDAGit开源社区
【期刊】设计模式在芯片
验证
中的应用——状态
#
验证
#设计模式
状态模式是一种行为设计模式, 让你能在一个对象的内部状态变化时改变其行为, 使其看上去就像改变了自身所属的类一样。
专芯致志er
【期刊】IC
验证
工程师高效战斗手册--提问之道(上篇)
#求职就业 #
验证
杰瑞IC验证
【期刊】UVM
验证
TinyALU项目:6 - Polymorphism
#
验证
#UVM #systemverilog #IC
验证
介绍polymorphism。
ICer消食片
【期刊】你真的了解数字
验证
吗?
#
验证
#数字
芯片
验证
就是采用相应的
验证
语言,
验证
工具,
验证
方法,在芯片生产之前
验证
芯片设计是否符合芯片定义的需求规格,是否已经完全释放了风险,发现并更正了所有的缺陷,站在全流程的角度,它是一种防范于未然的措施。
知芯情报局
【期刊】
验证
工程师经常犯的错误(4)---符号位扩展问题
#
验证
#SV
杰瑞IC验证
【期刊】优化函数传参,花里胡哨的那种
#
验证
#传参
任何编程语言中,函数都是进行功能封装,模块化设计的必备手段之一。在Systemverilog中也不例外,SV中有function和task之分,都属于广义上的函数。在函数的设计和编码规范中,我们往往会尽量减少入参的个数,一方面是为了函数的简洁和可读性,另一方面也是对环境质量和仿真性能有所裨益。此前,在
验证
环境中看到一个对用户开放的API函数,含有多达6个传递参数。便想着,如何能减少函数传递参数的个数?下面是一些粗浅的见解和尝试,分享如下。
验证芯发现
【期刊】[400题]
验证
工程师面试宝典-完结篇
#求职就业 #笔试面试 #
验证
摸鱼范式
【期刊】SystemVerilog中的Process(2)--进程的控制
#
验证
#SV
本文主要讲解named block、wait _order、wait _fork、disable、SystemVerilog中的内建process类等
杰瑞IC验证
【期刊】SystemVerilog中Assertions
#
验证
#断言
数字IC小站
【期刊】IC
验证
工程师高效战斗手册--高效
验证
平台搭建和冒烟测试要注意什么?
#
验证
#提效
杰瑞IC验证
【期刊】IC
验证
工程师高效战斗手册--接到
验证
任务与前期高效学习
#
验证
#效率 #流程
杰瑞IC验证
【期刊】我的第二个UVM代码——连接interface
#
验证
#UVM
ExASIC
【期刊】SystemVerilog中队列的越界访问
#
验证
#SystemVerilog #queue
通过示例说明SystemVerilog中的队列越界访问及注意事项
硅芯思见
【期刊】Lite PlantUML Parser | UML类图结构解析
#
验证
#PlantUML
Lite PlantUML Parser,基于ANTLR实现,编写PlantUML类图的语法解析,将已有的plantuml设计信息提取出来,以便后续开发使用。
验证芯发现
【期刊】FPGA原型
验证
-时钟门控的替换
#FPGA #FPGA原型
验证
#时钟门控的替换
FPGA原型
验证
-时钟门控的替换
全栈芯片工程师
【期刊】芯片
验证
需要围绕DUT做什么?
#
验证
#
验证
#组件#流程
深入了解芯片
验证
workflow!
ICer消食片
【期刊】PMP质量保证和质量控制在IC
验证
中的实践与思考
#管理 #项目管理 #
验证
#质量
ExASIC
【期刊】优秀的 Verilog/FPGA开源项目介绍(一)-PCIe通信
#FPGA #pcie #开源
开源的pcie项目,已经在很多平台上
验证
过了
OpenFPG
【期刊】SystemVerilog的一个简单
验证
demo
#
验证
#SystemVerilog #demo
数字IC小站
【期刊】sv_string | 简单、易用、开源的System-Verilog字符串操作函数库
#
验证
#芯片
验证
#systemverilog #string
相比于Python和C++ string丰富的操作方法, systemverilog中string操作方法略显单薄, 仅支持大小写转换和遍历等少量方法。作者借鉴部分python string的操作函数风格, 以及结合常用的一些字符串操作, 开发一个sv_string的开源库, 使用systemverilog编写, 无需DPI和C代码编译, 开箱即用。源码已开源在github, 已经过questasim仿真测试。
验证芯发现
【期刊】【Innovus】做postmask功能ECO需要分几步
#后端 #postmaskeco #eco
一般Postmask功能ECO流程分成以下几步:修改RTL和
验证
、修改网表(LEC)、后端工具里ECO Route。因为是postmask,所以不能加减stdcell,但可以用spare cells来映射。今天重点来介绍在Innovus里实现ECO Route需要怎么操作。
ExASIC
【期刊】SystemVerilog | UVM | Sequence的仲裁和锁定,还有要避开UVM的bug
#
验证
#UVM #SystemVerilog #Sequence
比较多的干货,也偏保姆级,介绍Sequence之间发生竞争的时候,我们需要或者说可以做些什么,包括如何配置sequence的仲裁算法和优先级、如何让sequence占用sequencer(这个地方UVM 1.2有个bug),以及如何中断sequence的执行。
芯片学堂
【期刊】一起学IC
验证
:推荐资料合集,收藏专用
#求职就业 #学习资料
ExASIC
【期刊】UVM设计模式 (九) 状态模式、Modelling Finite-State Machines in Testbench
#
验证
#UVM#设计模式
在我们的
验证
环境中,有时也需要一个组件专门负责FSM的建模;例如
验证
USB Device DUT时,
验证
环境需要模拟USB Host的行为;对于USB协议复杂的状态机,使用专门的FSM组件模拟,可以减少组件间的耦合;也可以将FSM组件的状态赋值到virtual interface上,通过波形协助debug;
IC Verification Club
【专栏】招聘GPGPU核
验证
工程师【结束】
3~5年,熟悉UVM等。
招聘专栏
【期刊】使用VCS进行代码加密的方法
#
验证
#VCS #加密 #
验证
文章介绍了使用VCS加密的方法,并提供了一个简单脚本供参考
阿辉说
【期刊】VCS/XRUN如何创建一个非UVM的简单仿真环境?
#
验证
#vcs #xrun
设计码完代码后,有时候想简单调试一下基本的通路,此时还没有
验证
资源进来,可以仿照modesim仿真的方法,创建一个.v/.sv的顶层,里面例化DUT,里面加预期激励。下面是VCS/XRUN两种仿真工具最简单的demo,spi_slv.v是待测dut, tb_top.sv为顶层top,例化dut, 里面添加对应的激励。
芯片设计验证
【期刊】厌倦了sv/uvm?来看看用python写
验证
环境
#
验证
#cocotb
本文介绍了cocotb的安装、python tb文件的写法、用xrun仿真cocotb的脚本等,我们来看看体验如何。
ExASIC
【期刊】硅芯思见:covergroup中的weight如何有效影响覆盖率
#
验证
#coverage #weight
在衡量统计覆盖率时,经常需要根据对covergroup或者coverpoint的关注程度对其设置对应的权重(weight),从而可以影响到总体的覆盖率。而在SystemVerilog中,weight一般情况下可以通过两种方式进行设置,分别是type_option.weight和option.weight,那么这两种方式在设置时有什么差别呢?本文将通过具体示例说明这两种设置weight的方式如何影响到覆盖率的分布情况。
硅芯思见
【期刊】SystemVerilog,
验证
与AOP(一)概述
#
验证
#SystemVerilog #AOP
面向切面编程(AOP)是对面向对象编程(OOP)的补充。AOP中关键概念称之为"切面"或者切面扩展,能够改变一个或多个类的行为方法。在SystemVerilog中使用AOP可以更加有效灵活地涉及testcase,减少code lines。AOP主要用于解决,在随机约束的TB中使用OOP难以解决的一些问题:1、上下文相关的行为2、临时性或"需求"外的扩展 3、……
验证芯发现
【期刊】UVM
验证
TinyALU项目:5 - Classes and Extension
#
验证
#UVM #systemverilog #IC
验证
介绍class和extend。
ICer消食片
【期刊】你还在用plusargs传递参数吗?来试试这个玩法~
#
验证
#UVM #SV
当面对很多
验证
组件,并且有很多命令行参数需要传递的时候,如何才能简单的实现给这些组件传递命令行参数呢?
杰瑞IC验证
【期刊】
验证
阶段思考——反馈&升级
#
验证
#覆盖率 #风险识别
阿辉说
【期刊】谈谈UVM中的Factory机制
#
验证
#UVM
数字芯片实验室
【期刊】关于reset的一些做法
#
验证
萌新来啦
【期刊】UVM COOKBOOK学习
#
验证
#uvm
摸鱼范式
【期刊】IC
验证
工程师高效战斗手册--
验证
feature文档梳理
#
验证
#效率 #流程
本文我们一起探讨如何又快又好的梳理
验证
测试点这个文档?怎样在
验证
过程中充分使用这个文档?
杰瑞IC验证
【期刊】CMOS模拟集成电路设计流程
#模拟设计 #设计流程
CMOS模拟集成电路的设计流程是一个复杂而系统的过程,从系统规格定义到最终的芯片测试和
验证
,每个步骤都需要精心设计和反复
验证
。每个环节之间都有密切的关联,设计师需要具备深厚的电路理论知识、工艺理解和EDA工具使用经验。
老虎说芯
【期刊】手把手教你使用条件编译
#
验证
#条件编译#macro
IC验证分享圈
【期刊】UVM源码计划(零)——下定决心读源码前的自测环节
#
验证
#uvm
最近被某同学拉着抽空看了些UVM源码,在读源码的过程中发现了很多基础知识的不足,因此结合过程中一些提问汇总了这篇文章。简单点说就是,如果这篇文章中提到的知识点还没搞懂,那不建议着急的去看UVM源码,推荐先看SystemVerilog基础知识。
芯时代青年
【期刊】UVM
验证
TinyALU项目:8 - Parameterized Class Definitions
#
验证
#UVM #类 #参数化
UVM
验证
TinyALU项目第八章: 参数化的类
ICer消食片
【期刊】SystemVerilog和UVM到底是啥关系?
#
验证
#SystemVerilog #UVM
数字芯片实验室
【期刊】IC
验证
er一起学点设计模式(1)---单例模式
#
验证
#SV #UVM #面向对象
众所周知,目前IC
验证
行业使用最主流的语言是SystemVerilog,这个语言有一个重要特点就是它是面向对象的语言。对于面向对象的语言,想要把代码写得更“牛逼”,其实就绕不开一个概念叫“设计模式”。
杰瑞IC验证
【期刊】UVM
验证
TinyALU项目:3 - Interface and BFM
#
验证
#UVM #systemverilog #IC
验证
结束interface和BFM
ICer消食片
【期刊】数字系统仿真
验证
方法 —— 华山论剑
#前端 #数字IC#仿真#
验证
#IP设计
数字IP/IC仿真
验证
方法梳理。
SiliconThink
【期刊】
验证
的重要性||
验证
的成本
#前端 #通识
摸鱼范式
【期刊】如何最大限度提升仿真性能???
#
验证
#
验证
#仿真#性能
本文将从各个方面来探讨如何最大化仿真性能。
芯片设计验证
【期刊】FPGA学习altera 系列 固化fpga配置芯片
#FPGA #芯片配置 #固化 #学习教程
FPGA可以反复的重新配置,这就意味着设计者可以不断的反复的下载设计的逻辑做
验证
。如果出现错误或者需要升级,只需要修改设计,重新下载设计逻辑电路即可。FPGA虽然有重新配置的优势,带来的不利就是它每次在系统掉电之后,之前载入的程序将会丢失,系统上电后需要重新配置。设计者为了弥补这项缺陷,在FPGA芯片的旁边都会设置一个flash(掉电不丢失)。配置FPGA芯片的方式有很多,无外乎是在线配置和外部存储器配置。系统上电后,会主动获取外部存储器内的配置数据。在线配置的优先级最高,所以读者不必担心固化外部存储器后不能在线配置其他的。
FPGA技术江湖
【期刊】形式
验证
与formality基本流程
#
验证
#形式
验证
数字ICer
【专栏】招3~5年
验证
工程师【结束】
某上市公司招数字
验证
工程师
招聘专栏
【期刊】硅芯思见:SystemVerilog类中的静态方法和属性
#
验证
#SystemVerilog #Static Method
在SystemVerilog中关于方法有两种“static”,一种是具有静态生命周期的方法(参见《SystemVerilog中的生命周期lifetime》),另一种则是静态的方法,两种“static”方法在声明时“static”关键字所处的位置是不同的,本文将主要针对静态方法进行说明。在SystemVerilog中,类中方法的生命周期是动态的(automatic lifetime),不能将类中的方法声明为具有静态的生命周期,但是可以将类中的方法声明为静态方法(static method),那么这种静态方法有哪些特点和使用注意事项呢?本文我们将通过示例进行说明。
硅芯思见
【期刊】数字IC岗位,HR常问的44个问题
#求职就业 #IC设计#面试面经#IC
验证
整理了一些HR面试或者综合面试时,面试官常常问的一些问题,建议大家秋招面试的时候提前看一眼,做到心中有数~
IC媛
【期刊】
验证
,设计相处的几个原则
#前端 #职场心得
重视spec,拒绝口口相传的feature。完善的spec意味着2方面,一是设计把芯片架构和设计细节想清晰了,代码质量自然会高,bug数目自然会少,后期的收敛速度自然会快。二是给
验证
提供了正确的输入,
验证
有了完整的spec,才有可能做出完善的vplan和
验证
环境;如果设计都没想清楚怎么做,写到哪,想到哪,必然存在很多漏洞,后面通过打补丁修复bug,还有可能引入更多的bug, 甚至还存在推倒重来的可能。
芯片设计验证
【期刊】不该被遗忘的interface class和多重继承
#
验证
#SystemVerilog #interface class #多重继承
在面向对象的世界里,继承是一个为人所熟知的概念,也是OOP的方法论之一。继承则又可以分为单继承和多重继承。单继承是比较常用的思路,比如经典的继承例子:麻雀类继承于鸟类,鸟类继承于动物类,子类不仅具有父类的属性和方法,同时还有自己的特质。而多继承则是表明子类可以同时具有多个父类,兼具多种“角色”的属性和方法。在SV 2012标准里引入了接口类(interface class)和实现(implements),可以实现多重继承的设计。
验证芯发现
【期刊】【小技巧】如何解决SV进程之间的冲突?
#
验证
#SystemVerilog
如何解决SV进程之间的冲突?示例代码中,如何保证在仿真时间不前进的情况下,保证c=b这句话后执行?只需要在c=b之前加一句uvm_wait_for_nba_region();
摸鱼范式II芯片验证之路
【期刊】一个加法器的UVM
验证
平台设计
#
验证
#UVM #IC
验证
#
验证
平台搭建
以入门的角度进行一个加法器的UVM
验证
平台设计
Andy的ICer之路
【期刊】跟着IC媛,从零基础开始征服SV
#
验证
#自学sv
IC媛从零开始学SV的一系列记录
IC媛
【期刊】【AMBA VIP | VC_formal | VCS】EDA docker 镜像使用指南
#FPGA #eda #
验证
#设计
带走vcs verdi formal的docker镜像使用指南
摸鱼范式II芯片验证之路
【期刊】【犄角旮旯的bug】UVM环境的看门狗怎么没看住超时了?
#
验证
#UVM
uvm
验证
环境里一般通过objection机制来控制仿真的结束,不过在机制之外,有时还需要通过看门狗来watchdog避免仿真环境挂死,watchdog配合objection一起来控制仿真的进行与结束。我一直自诩为对环境watchdog这件事烂熟于心了,不过没想到这天还是被伤害到了。“@harness.dut.hand_en”和“wait harness.dut.hand_en”到底有什么区别?
芯时代青年
【期刊】UVM EVENT那些事
#
验证
#UVM #源码分析
分析event的用法和注意事项
摸鱼范式II芯片验证之路
【期刊】UVM实战[二]
#
验证
#UVM #Testbench搭建
摸鱼范式
【期刊】使用SpinalHDL和Cocotb进行敏捷数字芯片设计和
验证
#
验证
#spinal #cocotb
领域特定架构已成为计算机发展的一种趋势。在提供更高效算力的同时,它也给底层硬件的开发带来了更大的挑战。传统的芯片设计和
验证
技术已越来越无法应对这些新的要求和挑战。在芯片设计上,本文将介绍如何使用SpinalHDL,一种基于Scala的新型硬件描述语言(HDL),或者更准确地说是硬件构造语言,提高硬件设计的效率和质量。对于SpinalHDL,本文还介绍了如何利用Scala的类型系统高效地进行复杂硬件的设计。对于
验证
,本文将介绍基于Python的
验证
环境Cocotb,以及如何利用Python简洁高效的语言特性及其繁荣的开源社区,提升芯片
验证
的效率。
达坦科技DatenLord
【期刊】Verilog中关于文件操作的系统任务
#
验证
#verilog #文件操作 #系统任务
Verilog提供了很多对文件操作的系统任务和函数,例如打开关闭文件、向文件写入值、从文件读出值等等。
ICer消食片
【期刊】初识interface class
#
验证
#SystemVerilog #interfaceclass
大概2012年的时候,IEEE1800-2012中增加了一个新的特性,即interface class横空出世,通过接口类实现想要被继承的方法的声明,然后在需要使用该方法的实现类中实现这些接口类的方法,从而可以在同一个实现类中,可以同时实现多个不同接口类中的方法,但是这并不是我们理解的多重继承,应该算作一种多重实现。
硅芯思见
【期刊】Win10 WSL + Linux 开源 EDA(三)
#
验证
#开源 #EDA
本期介绍一款开源的 VCD 波形查看器。
icsoc
【期刊】我的2021秋招经历——IC
验证
#
验证
#
验证
#秋招#经验分享
2022届物理专业硕士分享21年秋招转IC
验证
的经历!
ICer消食片
【期刊】从算法到RTL实现,FPGA工程师该怎么做?
#FPGA #FPGA # 算法 # IC设计 #信号处理
针对近日技术交流群里讨论的算法与RTL实现问题,写篇文章,做一次总结。提出从算法链路仿真
验证
到RTL实现的必要处理步骤,总结在FPGA算法实现中应遵循的设计流程,提高复杂系统设计的实现能力。
FPGA算法工程师
【期刊】IC
验证
工程师高效战斗手册
#求职就业 #
验证
杰瑞IC验证
【期刊】通过一个简单的testbench来初识SystemVerilog
#
验证
#
验证
#systemverilog#
简单介绍一个由systemverilog搭建的testbench
ICer消食片
【期刊】FPGA图像处理——老戏新说
#FPGA #SpinalHDL #图像处理
针对FPGA图像处理的Sobel检测算法,以SpinalHDL为开发语言进行实现,相较于Verilog,能够在不损失性能条件下快速进行算法
验证
。
似猿非猿的FPGA
【期刊】值得一看 | 细说
验证
中的Memory Allocation Manager
#
验证
#UVM_MEM_MAM #YAMM #内存管理 #DMA #SOC
介绍芯片
验证
中的“内存管理”概念,可以用作数据搬运类
验证
的地址管理和分配,解决随机重复地址的问题,分享两个常用的库:UVM_MEM_MAM和YAMM。
验证芯发现
【期刊】IC
验证
学习路线(干货满满!)
#
验证
#IC
验证
#学习路线 #面试面经 #知识分享
酒酒总结的IC
验证
学习路线(附资料,面试面经,IC
验证
面试常问88道总结,IC面试手撕代码总结,IC设计问题总结)
酒酒聊IC编程
【期刊】由屏蔽某些UVM_ERROR想到的
#
验证
萌新来啦
【专栏】IC技术优秀文章投稿及评选活动通知(已结束)
为促进芯片设计行业从业人员技术交流,IC技术圈将定期组织《IC技术优秀文章投稿及评选活动》,面向芯片设计行业内的工程师、在校学生,以文章创作的形式进行技术总结、经验分享。文章话题范围为IC设计相关,可包括设计、
验证
、后端三大方向,不少于800字。
IC技术圈官方
【期刊】为什么说 CPU 是人造物的巅峰?
#前端 #
验证
#IC
验证
#就业 #求职 #芯片 #秋招 #薪资 #前端设计
如果把CPU和城市作类比,其中的控制及协调模块可以类比为政府及协调部门、电源模块相当于供电部门、内部的走线相当于街道,而其中一个有一个的小模块可以看做是小区、工业区、大学城等。但和城市不同的是,这些复杂的功能模块都要集成在指甲大小的芯片中.
OpenIC
【期刊】AXI总线,关于“贩毒交易”“火车”“马匪”的故事<一>
#前端 #AXI总线 #IC
验证
#协议
杰瑞IC验证
【期刊】啥?100个agent?这个台子怎么搭?
#
验证
#UVM
杰瑞IC验证