IC技术圈期刊 2020年 第11期

类别: FPGA(13) 前端(7) 验证(4) 后端(3) 嵌入式(0) 自动化(1) 模拟(2) 求职就业(3) 管理(0) 软件(0) 按月份
我的第三个UVM代码——把testcase与driver分开
#验证  #UVM 
在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的验证环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的验证架构,可以根据自己的需要进行裁剪。
ExASIC More
你还在用plusargs传递参数吗?来试试这个玩法~
#验证  #UVM  #SV 
当面对很多验证组件,并且有很多命令行参数需要传递的时候,如何才能简单的实现给这些组件传递命令行参数呢?
杰瑞IC验证 More
IC验证工程师高效战斗手册--验证feature文档梳理
#验证  #效率  #流程 
本文我们一起探讨如何又快又好的梳理验证测试点这个文档?怎样在验证过程中充分使用这个文档?
杰瑞IC验证 More
SystemVerilog与功能验证方法学
#验证  #FPGA  #UVM  #SystemVerilog 
介绍SyetemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人 More