IC技术圈期刊 2022年 第01期

类别: FPGA(8) 前端(6) 验证(12) 后端(3) 嵌入式(0) 自动化(2) 模拟(2) 求职就业(6) 管理(0) 软件(2) 按月份
入行数字IC验证的一些建议
#求职就业  #经验分享  #IC验证 
分享成功入行SoC验证工程师的自学个人经验
ICer消食片 More
通过一个简单的testbench来初识SystemVerilog
#验证  #验证  #systemverilog 
简单介绍一个由systemverilog搭建的testbench
ICer消食片 More
低功耗验证笔记:一个UPF低功耗例子
#验证  #验证  #低功耗  #UPF 
通过一个例子介绍UPF文件的编写规范与测试平台的修改
数字验证笔记 More
低功耗验证笔记:Verdi的Power-Aware Debug
#验证  #验证  #低功耗  #UPF 
介绍如何使用verdi进行低功耗仿真
数字验证笔记 More
芯片验证需要围绕DUT做什么?
#验证  #验证  #组件  #流程 
深入了解芯片验证workflow!
ICer消食片 More
SystemVerilog中队列的越界访问
#验证  #SystemVerilog  #queue 
通过示例说明SystemVerilog中的队列越界访问及注意事项
硅芯思见 More
SystemVerilog中有界无界的队列
#验证  #SystemVerilog  #queue 
示例SystemVerilog中有界和无界队列的使用注意事项
硅芯思见 More
动态数组的创建不一定非要new[]
#验证  #SystemVerilog  #dynamic_array 
通过示例说明动态数组的一些使用方法
硅芯思见 More
我的2021秋招经历——IC验证
#验证  #验证  #秋招  #经验分享 
2022届物理专业硕士分享21年秋招转IC验证的经历!
ICer消食片 More
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂 More
SystemVerilog | 脱离代码谈芯片验证关键指标:覆盖率
#验证  #SystemVerilog  #UVM  #芯片验证 
验证覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道验证已经完成?” 实际上,就算验证覆盖率达到了100%,从逻辑上也不能保证当前的验证是完备的。只不过,100%的验证覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂 More
芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端  #SystemVerilog  #UVM  #芯片验证 
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂 More