EDA文档的IC技术圈专栏主页
APR文档
April 14, 2024, 3:49 p.m. 44 阅读
icc2 ug,innovus ug等
PT文档
March 29, 2024, 6:28 a.m. 78 阅读
ptug、报错信息查询等
IEEE 1800-2023 SystemVerilog新版本正式发布了,快来看看都有什么更新
March 19, 2024, 5:55 p.m. 2994 阅读
SystemVerilog发布新版本了
amba文档 私密
March 13, 2024, 11:39 a.m. 104 阅读
一些pdf
车载ISO26262-11 2018
Feb. 18, 2024, 10:51 a.m. 91 阅读
Guidelines on application of ISO 26262 to semiconductors
菜鸟教程网站的芯片相关的学习资料链接汇总
Feb. 17, 2024, 10:48 p.m. 336 阅读
菜鸟教程网站的芯片相关的学习资料链接汇总,包括linux、verilog、git、python3、perl等
脚本文档
Feb. 7, 2024, 9:38 a.m. 206 阅读
perl,tcl,python,ruby,shell,makefile,vimscript,elisp,mako,jinja2
systemverilog做设计
Feb. 7, 2024, 9:23 a.m. 132 阅读
systemverilog for design,design compiler支持的sv语法,SNUG paper等
dft文档
Feb. 5, 2024, 6:53 p.m. 105 阅读
dft compiler、atpg生成和验证
验证相关文档分享
Feb. 1, 2024, 6:47 p.m. 115 阅读
ieee1800-2023,sv语言参考,绿皮书第三版,uvm类库手册,uvm cookbook
vcs文档分享
Jan. 31, 2024, 9:14 a.m. 103 阅读
vcs user guide
综合相关文档分享
Jan. 31, 2024, 12:04 a.m. 131 阅读
user guide、综合命令、sdc、时序约束、TCL等
Formality、Conformal文档分享
Jan. 30, 2024, 11:56 p.m. 193 阅读
Formality User Guide、Conformal User Guide