VSCode的VHDL插件介绍
专栏:偷懒小技巧 Aug. 11, 2024, 9:27 a.m. 151 阅读
用vscode写vhdl

1000003688.jpg

V4P - VHDL for Professionals

这个VSCode插件全面支持VHDL2018,专为VHDL专业开发人员打造。

Features

  • VHDL 2008 support

    • Full Syntax Support, no limitations: Generic Packages, Generic Subroutines, External/Hierarchical Names, Enhanced Bit String Literals, Protected Types, Contexts, Vector Aggregates, Conditional Statements, ...

    • Syntax Highlighting

    • Syntax Errors

    • Built-In Standard IEEE libraries

    • Code Statistics (Lines of code, comments, empty lines)

  • Semantic Highlighting (Signals, Variables, Constants, Generics, Types, Ports, ...)

  • Type-Time Checks, LINTing

    • Syntax Errors

    • Symbol not found

    • Signal vs. variable assignment operator

    • Symbol already defined

    • End-labels mismatch

    • Unassociated port signals for instances

    • Symbol cannot be target of assignment

    • Symbol is never written

    • Symbol is never read

    • Meta-Comments (pragmas) and configuration settings to disable warnings

  • Stutter Mode

  • Code Assist

    • Refactoring: Renaming

    • Insert missing instance associations (and create local signals)

    • Create signal declarations for unknown instance associations

  • Code Formatter

    • Full Document / Selected Range

    • Highly configurable

    • Meta-Comments (pragmas) for in-file control

  • Code Navigation

    • Goto Definition

    • Goto Declaration

    • Goto Type Definition

    • Find all References

    • Find all (signal-) sources

  • Hover Info / Quickinfo (colorized 😉 )

    • Symbol Information, Type Information

    • Expression Evaluator

    • Number Conversion

    • Alias/Type Resolution

    • Generic Type Resolution

  • Code Completion (context sensitive)

    • Snippets (Instances, Case, Processes, Entities/Architectures, ...)

    • Symbols (Variables, Signals, Constants, Types, ...)

    • Hierarchy/Record/Protected Type

    • Name Resolution

  • Side-Panel Views

  • Access all commands (HDL Panel)

  • Library View (HDL Panel)

  • Hierarchy View (HDL Panel)

  • Document outline (Explorer Panel)

  • Parameter Help for (overloaded)

  • functions/procedures/components/instances

  • Smart Indentation when typing

  • VHDL specific Outlining / Code Folding

  • Multiple VHDL libraries per Design File

  • Per-Folder / Project-Wide Preferences
    Indentation

    • Formatting (Keyword-style, Capitalization of Standard-Libs, ...)

    • Code Completion

    • Hover Info

官网:https://www.vide-software.at/
VSCode商店链接:
https://marketplace.visualstudio.com/items?itemName=ViDE-Software.v4pvhdlforprofessionals

感谢阅读,更多文章点击这里:【专栏:偷懒小技巧】
最新20篇 开设专栏