dc约束中的multi scenarios(多场景)
dc约束中的multi scenarios(多场景)
#前端  #综合 
我们在做MCU芯片的时候,经常遇到PAD复用。有一种情况比较特殊:一个PAD在一个场景下用作时钟输入,另一个场景下用作数据的输入。这样的话,我们需要为这个PAD创建两组约束。
ExASIC More