使用Verdi的小技巧(五)
使用Verdi的小技巧(五)
#验证  #verdi 
在做芯片级或者数模混合的仿真中,经常需要调试特殊驱动的信号。比如常见的总线 I2C 中的 SCL 和 SDA,这俩都是开漏驱动类型,总线在系统级可能会有多个器件来驱动。这个时候如果能在波形上显示驱动强度,而不是简单的四值电平(0、1、X、Z),对于调试工作会有更直观的帮助。
icsoc More