SystemVerilog Assertion精华知识
SystemVerilog Assertion精华知识
#验证  #Assertion  #断言  #SVA 
SystemVerilog中引入的SVA在协议检查和协议覆盖率方面可以发挥重要的作用,它们更接近设计信号,通过将这些断言直接连接到设计,一方面便于管理,另一方面仿真性能可以得到极大的提高。SVA语言本身非常简洁,且提供了很好的时序控制能力。
专芯致志er More