IC技术圈期刊 2022年 第05期

类别: FPGA(8) 前端(10) 验证(4) 后端(8) 嵌入式(0) 自动化(1) 模拟(0) 求职就业(4) 管理(0) 软件(2) 按月份
从APU到ZPU,你认识几个处理器?
#前端  #处理器  #CPU  #GPU  #TPU  #NPU  #DPU 
带你快速了解从A到Z的各种PU,方便下次技术交(chui)流(niu)的时候,能插上几句话!
ICer消食片 More
从Verilog PLI到SystemVerilog DPI的演变
#软件  #Verilog  #SystemVerilog  #PLI  #DPI  #API 
聊一聊从Verilog PLI发展到SystemVerilog DPI都经历过哪些变化
ICer消食片 More
SystemVerilog中program提前结束仿真
#验证  #SystemVerilog  #program 
在SystemVerilog中,增加了program...endprogram用于将测试代码集成在其中,从而区分RTL设计代码和测试平台代码在time-slot中执行的区域,其与module...endmodule用法类似,但是还是有些差异,如果使用不当可能会出现一些不期望的问题,本文将主要针对program提前结束仿真的行为与module进行对比说明。
硅芯思见 More
dc约束中的multi scenarios(多场景)
#前端  #综合 
我们在做MCU芯片的时候,经常遇到PAD复用。有一种情况比较特殊:一个PAD在一个场景下用作时钟输入,另一个场景下用作数据的输入。这样的话,我们需要为这个PAD创建两组约束。
ExASIC More
厌倦了sv/uvm?来看看用python写验证环境
#验证  #cocotb 
本文介绍了cocotb的安装、python tb文件的写法、用xrun仿真cocotb的脚本等,我们来看看体验如何。
ExASIC More
【职场说】10问采访工作15年的资深ICer(必看)(一)
#求职就业  #职场 
采访一位工作了接近15年的资深ICer!值得一读!
IC媛 More
芯片行业不再火热,你做好准备了吗?
#求职就业 
最近,讨论芯片行业的文章多了起来。看来,很多人已经觉察到了风向的变化。
白话IC More
【职场说】10问采访工作15年的资深ICer(必看)(二)
#求职就业  #职场 
采访一位工作了接近15年的资深ICer!非常推荐!
IC媛 More
【手撕代码】超硬核,华为手撕代码题汇总(强烈推荐)!
#前端  #手撕代码 
去年秋招华为面试过程遇到的手撕代码汇总!
IC媛 More
从Spice到Lib,然后从Lib到Lib
#后端  #PnR  #liberty 
liberty是从模拟世界到数字世界的其中一把钥匙,本文简单介绍K库工具及其使用。
RTL2GDS More
复位、异步复位、同步复位
#前端  #复位Resetn 
复位逻辑为电路提供了良好的初始化状态, 所以复位是电路中最重要的模块之一。
IC解惑君 More
ICC/ICC2 or INN 一点不成熟的浅见
#后端  #ICC  #ICC2  #INNOVUS 
对于ICC/ICC2 和INNOVUS一些浅显的理解
艾思后端实现 More
中端设计在IC开发中的价值和思考
#后端  #Synthesis  #middle-end 
IC设计中 中端设计的重要性 日渐明显
艾思后端实现 More
优秀的 Verilog/FPGA开源项目介绍(二十二)- 深度神经网络 (DNN)
#FPGA  #DNN  #开源项目 
今天介绍了3个DNN的项目,主要是DNN复杂度较TPU或者CNN高了几个台阶,所以用它来直接对FPGA进行移植难度很大,还是只建议对第一个IBM项目进行研究,其他在ZYNQ上进行数字识别适合实现,其他都不怎么推荐。
OpenFPGA More
ISP算法及架构分析介绍
#FPGA  #isp  #架构 
市面上很少有直接介绍ISP的书籍或者资料,今天我们主要是聊一聊ISP算法的架构,这样大家就能明白为什么很少有专用的书籍对这方面进行介绍了。
OpenFPGA More
资料汇总|FPGA软件安装包、书籍、源码、技术文档…(2022.05.15更新)
#FPGA  #开发软件安装包  #学习资料  #工程源码分享  #经验分享 
这是一篇汇总篇,汇总的内容就是,“FPGA技术江湖”公众号从2020年年初开始更新至今(2022.05.15),所有自动回复能获取的软件安装包、书籍、技术文档等。很多大侠反应很多链接失效了,由于网盘永久链接容易系统和谐,本次更新了以前很多失效的下载链接。
FPGA技术江湖 More
UART控制AD9361寄存器Project
#FPGA  #AD9361 
这是一篇简单介绍调试AD9361的Demo,通过串口调试一些寄存器以及初始化脚本,也可以在公众号中获取具体的Demo代码,可以减少AD9361的调试时间。
FPGA打工人 More
RC抽取工艺文件(三)Layer map错误
#后端  #RC抽取 
RC抽取工艺文件(三)Layer map错误
全栈芯片工程师 More
RISC-V E203设计之旅(一)
#前端  #RISC-V 
RISC-V E203设计之旅(一)
全栈芯片工程师 More
芯片设计里的Multi-Bit FF探究
#后端  #Multi-bit 
使用MBIT 完成芯片设计,助力PPA再上一层高度
艾思后端实现 More
【从零开始数字IC设计】001 反相器的verilog设计
#前端  #数字IC  #verilog 
本文从数字集成电路设计角度阐述了反相器的原理、数字逻辑表示方法、verilog语言的描述、再到把verilog代码转换回电路的方法和过程。后面会继续用这种思维带领大家学习和设计数字集成电路中的常用电路。
ExASIC More
cadence genus版的综合脚本
#前端  #综合 
今天我们再来写个cadence genus版本的综合脚本。为了简单说明,只做了worst corner,可以满足大部分老工艺的综合。
ExASIC More
基础:如何用MATLAB生成AWGN噪声?(附源代码)
#FPGA  #信号处理  #AWGN 
AWGN是常用的噪声模型,我们在信号处理中,经常使用。通常我们使用MATLA自带的AWGN函数进行加噪,如果不用其官方函数,我们应该怎么写一个AWGN的函数呢?本文给出一个设计示例。
FPGA算法工程师 More
OTFS:OFDM技术的持续演进之路
#FPGA  #5G  #OFDM  #OTFS 
OTFS波形是基于移动无线信道可以在延迟-多普勒域中有效建模的思想,在典型的高移动性环境领域进行信息编码,以对抗多径传播信道中的多普勒频移。
FPGA算法工程师 More