IC技术圈期刊 2022年 第02期

类别: FPGA(13) 前端(5) 验证(9) 后端(0) 嵌入式(1) 自动化(0) 模拟(0) 求职就业(2) 管理(0) 软件(4) 按月份
分享几个职业生涯中的问题的思考
#求职就业  #股票  #职业生涯 
做事的时候开心吗?做自己感兴趣的事,才更容易出成果、有创新,更容易实现自我价值,也更容易帮老板实现利润。最后,送大家一句曾国藩的话:“抬头看路,埋头做事,低头做人。”
ExASIC More
Emacs的安装、配置和使用
#软件  #emacs 
介绍了Emacs的安装、配置和使用。
ExASIC More
UVM验证TinyALU项目:1 - Introduction and DUT
#验证  #UVM  #systemverilog  #IC验证 
《The UVM Primer》 是一本UVM的入门书籍,由Ray Salemi编写出版,并且是免费开源的项目,代码可以在GitHub上下载。
ICer消食片 More
UVM验证TinyALU项目:2 - A Conventional Testbench for the TinyALU
#验证  #UVM  #systemverilog  #IC验证 
在搭建UVM验证环境之前,我们先从SystemVerilog验证平台开始,随后一步一步地过渡到完整的UVM验证平台。
ICer消食片 More
如何在“浏览器”里实现一个云端EDA
#软件  #django  #eda  #云端EDA 
本文介绍了一种在浏览器里编辑代码、仿真、看log、看波形的方法。
ExASIC More
从Verilog到SpinalHDL
#FPGA SpinalHDL 
该篇文章会不定期更新推送,用以同步公众号内所有和SpinalHDL文章归类汇总,多谢支持。
Spinal FPGA More
你知道如何做多端口仲裁么
#FPGA SpinalHDL 
该小系列就SpinalHDL中关于StreamArbiter部分从基础原理到最终的实现细节进行总结。本篇先从roundRobin讲起。
Spinal FPGA More
热爱芯片行业是一种怎样的体验?
#求职就业  #芯片  #求职  #前端设计  #职业发展 
时至今日,我开始慢慢的发觉我对芯片行业的热爱有多么深切。回首自己的职业生涯历程,热爱这件事,不是一蹴而就的。
OpenIC More
在FPGA上完美复刻Windows 95
#FPGA  #Win95  #486 
在FPGA上运行Windows 95
OpenFPGA More
验证仿真提速系列--认识“时间”与平台速度定量分析
#验证  #VCS  #SystemVerilog 
…我们今天围绕“时间”这个主题,首先讨论了验证仿真中的“3个时间”建立了基础认知,接着明确了平台提速到底要提哪个时间?最后以vcs工具举例了怎么收集和分析相关信息…
杰瑞IC验证 More
用FPGA创建SoC如此容易
#FPGA  #SoC  #RISC-V 
一个专门用于创建SoC的开源项目,几个步骤即可完成设计。
OpenFPGA More
I + I2C = I3C:这个附加的“I”是什么?
#FPGA  #I3C  #I2C  #MIPI 
MIPI 联盟2018年发布了 I3C(发音为“eye-three-see”)总线规范的第 1 版,这应该是对长期存在的 I2C 和 SPI 协议的改进。与 I2C/SPI 相比,I3C 提供更高的数据速率、更低的功耗和附加功能,例如动态地址分配、主机加入、带内中断。
OpenFPGA More
HDL设计周边工具,减少错误,助你起飞!
#FPGA  #Linting  #工具 
介绍几个FPGA周边设计的小工具,前端后端都有,有利于代码设计。
OpenFPGA More
十年来嵌入式软件最有价值的书籍
#嵌入式  #状态机 
大牛Mira Samek就有这么一双新的眼睛。《嵌入式系统的微模块化程序设计-实用状态图C/C++实现》是他的结晶,是一种使用建模反应式系统而不使用重量级的工具。这本书的英文原版在美国被评为十年来嵌入式软件最有价值的书籍。
ZYNQ More
FPGA工程师如何提升自己的算法能力?
#FPGA  #FPGA  #算法  #5G  #信号处理 
提升FPGA工程师的核心竞争力,掌握基本功和设计方法学,一方面提升模块、链路代码实现能力,掌握常用接口设计,同时,尽可能提升自己的算法能力,可以让自己的总理综合技能得到快速提升。
FPGA算法工程师 More
O-RAN与5G白盒基站那些事
#FPGA  #5G  #O-RAN  #架构  #基站 
O-RAN,是一个基于 RAN 元素的互操作性和标准化的概念,包括针对不同供应商的白盒硬件和开源软件元素的统一互连标准。O-RAN 架构将模块化基站软件堆栈集成在现成的硬件上,允许来自分立供应商的基带和无线电单元组件无缝地一起运行。FPGA工程师可参考该联盟发布的协议标准,设计基带处理单元(BBU)、前传、RRU等PHY层逻辑。
FPGA算法工程师 More
5G NR 基带SoC参考设计
#FPGA  #FPGA  #5G  #SoC  #基带 
给出5G NR P站室内部署场景的基带硬件参考设计,基于FPGA实现DU、CU加速。该设计包括:CU硬件设计、DU参考设计、硬件加速器设计、PCIe接口、Ethernet、CPRI接口、同步与定时设计等。
FPGA算法工程师 More
IC设计中的多时钟域处理方法总结
#FPGA  #FPGA  #IC设计  #ASIC  #CDC 
我们在ASIC或FPGA系统设计中,常常会遇到需要在多个时钟域下交互传输的问题,时序问题也随着系统越复杂而变得更为严重。本文介绍了常用的多时钟域处理方法,多时钟域下控制信号和数据流的同步处理技术。
FPGA算法工程师 More
SystemVerilog中clocking block中的输入偏差和输出偏差
#验证  #SystemVerilog  #Interface  #Skew 
示例说明SystemVerilig中interfae中clocking block的输入输出偏差
硅芯思见 More
浅谈VCS的两种仿真flow
#软件  #EDA  #VCS  #仿真 
介绍VCS的两种仿真flow
ICer消食片 More
漫谈FIFO-深度
#前端  #FIFO  #同步FIFO  #异步FIFO  #前端 
最近加的群里面有些萌新在进行讨论FIFO的深度的时候,觉得FIFO的深度计算比较难以理解。所以特出漫谈FIFO系列,会涉及到FIFO的深度计算、同步/异步FIFO的设计等。
IC解惑君 More
UVM EVENT那些事
#验证  #UVM  #源码分析 
分析event的用法和注意事项
摸鱼范式II芯片验证之路 More
X86 CPU指令集的发展史
#前端  #FE  #CPU  #指令集 
一问读懂国内外X86 CPU指令集公司的发展与现状
码农的假期 More
FPGA时序分析、约束专题课视频回顾
#FPGA  #FPGA时序分析  #FPGA时序约束  #学习视频 
通过对设计的全面时序分析,使您能够对电路性能进行验证,识别时序违规,并推动fitter的逻辑布局,从而满足您的时序设计目标。本视频从基础的数字电路出发,逐步引入时序的概念,了解时序的重要性,理解时序的基本分析方法,掌握时序约束和时序分析的方法。通过对电路的改善,使电路能够满足时序要求。
FPGA技术江湖 More
FPGA图像处理专题课视频回顾
#FPGA  #FPGA图像处理  #FPGA基础算法实现  #学习视频 
在当前图像处理算法研究已经很成熟的背景下,提高图像处理的时效性有很大的应用背景。随着微电子技术的高速发展,FPGA为数字图像信号处理在算法、系统结构上带来了新的方法和思路。本视频通过讲解FPGA的内部结构,基础的图像处理的算法,结合matlab共同实现FPGA处理图像算法的验证方法等,使学习者具备在FPGA上应用图像处理算法的能力。
FPGA技术江湖 More