IC技术圈期刊 2022年 第01期

类别: FPGA(8) 前端(6) 验证(12) 后端(3) 嵌入式(0) 自动化(2) 模拟(2) 求职就业(6) 管理(0) 软件(2) 按月份
IC打工人最常用的20个Linux命令
#软件  #软件  #Linux  #自动化 
学会这20个Linux命令,领先大多数IC打工人!
ICer消食片 More
你真的懂GIT和SVN吗?
#软件  #svn  #git  #自动化 
SVN和Git都是当前主流的版本控制系统,哪个适合你?
ICer消食片 More
入行数字IC验证的一些建议
#求职就业  #经验分享  #IC验证 
分享成功入行SoC验证工程师的自学个人经验
ICer消食片 More
通过一个简单的testbench来初识SystemVerilog
#验证  #验证  #systemverilog 
简单介绍一个由systemverilog搭建的testbench
ICer消食片 More
低功耗验证笔记:一个UPF低功耗例子
#验证  #验证  #低功耗  #UPF 
通过一个例子介绍UPF文件的编写规范与测试平台的修改
数字验证笔记 More
低功耗验证笔记:Verdi的Power-Aware Debug
#验证  #验证  #低功耗  #UPF 
介绍如何使用verdi进行低功耗仿真
数字验证笔记 More
芯片验证需要围绕DUT做什么?
#验证  #验证  #组件  #流程 
深入了解芯片验证workflow!
ICer消食片 More
【innovus】大小写重名的终极解决方法
#后端  #innovus 
其实innovus也有一个命令类似dc的change_names,叫update_names。
ExASIC More
RISC-V指令集架构的由来
#前端  #cpu  #risc-v 
本文主要介绍RISC-V指令集架构的发展由来
码农的假期 More
优秀的 Verilog/FPGA开源项目介绍(十七)- AXI
#FPGA  #AXI  #AMBA 
AMBA总线是ARM研发的(Advanced Microcontroller Bus Architecture)提供的一种特殊的机制,可以将RISC处理器集成在其他IP芯核和外设中,它是有效连接IP核的“数字胶”,并且是ARM复用策略的重要组件。本文主要介绍AXI总线的相关开源项目
OpenFPGA More
谈谈Verilog和SystemVerilog简史,FPGA设计是否需要学习SystemVerilog
#FPGA  #verilog  #systemverilog 
SystemVerilog标准(SV-2009)发布距今已近十余年,在验证领域已经大放异彩,但是在设计领域(尤其FPGA领域)使用的还是比较少,虽然市场上已经发布了几本相关书籍,但是在使用上或者学习上还是有点缺陷的,这篇文章是SystemVerilog建模及仿真系列教程的第一篇,先去了解一下Verilog和SystemVerilog发展简史,从中很容易得出FPGA设计是否需要学习SystemVerilog。
OpenFPGA More
想用FPGA加速神经网络,这两个开源项目你必须要了解
#FPGA  #FPGA  #CNN  #加速 
目前主流的解决方案就是使用通用或专用处理器来做控制工作,让硬件来执行计算(加速的概念),今天就介绍两个针对以上解决方案的开源项目,这两个项目是用FPGA进行硬件加速的必备项目。
OpenFPGA More
SystemVerilog中队列的越界访问
#验证  #SystemVerilog  #queue 
通过示例说明SystemVerilog中的队列越界访问及注意事项
硅芯思见 More
SystemVerilog中有界无界的队列
#验证  #SystemVerilog  #queue 
示例SystemVerilog中有界和无界队列的使用注意事项
硅芯思见 More
动态数组的创建不一定非要new[]
#验证  #SystemVerilog  #dynamic_array 
通过示例说明动态数组的一些使用方法
硅芯思见 More
我的2021秋招经历——IC验证
#验证  #验证  #秋招  #经验分享 
2022届物理专业硕士分享21年秋招转IC验证的经历!
ICer消食片 More
我2021秋招经历——IC设计
#前端  #IC设计  #秋招  #经验分享 
2022届器件研究方向硕士分享21年秋招转行IC的经历!
ICer消食片 More
进入IP Core的时钟,都不需要再手动添加约束么?
#FPGA  #Xilinx  #时序约束 
很多FPGA工程师都认为,凡是进入到IP Core的时钟,IP都会自动进行约束,不需要再手动加约束,是这样的么?
傅里叶的猫 More
兰姐:与各位逆袭大神完全不一样的平平淡淡秋招路
#求职就业 
本科吉大电信专业,硕士以第一的成绩考入中科院北京某研究所集成电路工程专业,有数字IC流片的经历的兰兰的面经
数字IC打工人 More
从2000万年终奖和芯片行业工资涨幅50%说起
#求职就业 
微信群消息,某刚刚上市的芯片企业,今年年终奖......
白话IC More
数字IC设计中的重要考虑因素
#前端  #IC设计  #ASIC 
描述了芯片设计中的时序参数,亚稳态,时钟偏移问题,以及在设计过程中应考虑到面积、速度和功耗的关系。
FPGA算法工程师 More
FPGA攻城狮玩5G通信算法的基本套路
#FPGA  #5G  #FPGA  #算法  #工业互联网  #MIMO 
架构-算法-实现-调试,5G研发工程师应该怎么做?
FPGA算法工程师 More
关于工作的几个想法
#求职就业  #职场  #IC设计 
近二十年IC设计工作的几个想法。未必是真知灼见,但一定是肺腑之言。
icsoc More
FPGA知识汇集--FPGA结构(1)
#FPGA  #FPGA  #结构  #IC设计 
FPGA知识汇集--FPGA结构(1)
FPGA技术联盟 More
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂 More
SystemVerilog | 脱离代码谈芯片验证关键指标:覆盖率
#验证  #SystemVerilog  #UVM  #芯片验证 
验证覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道验证已经完成?” 实际上,就算验证覆盖率达到了100%,从逻辑上也不能保证当前的验证是完备的。只不过,100%的验证覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂 More
芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端  #SystemVerilog  #UVM  #芯片验证 
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂 More
基于FPGA的电子计算器系统设计(附代码)
#FPGA  #电子硬件  #实操  #Verilog HDL  #代码分享 
在国外,电子计算器在集成电路发明后,只用短短几年时间就完成了技术飞跃,经过激烈的市场竞争,现在的计算器技术己经相当成熟。计算器已慢慢地脱离原来的“辅助计算工具”的功能定位,正在向着多功能化、可编程化方向发展,在各个领域都得到了广泛的应用。
FPGA技术江湖 More
FIR数字滤波器设计
#FPGA  #FIR数字滤波器  #窗函数法  #频率采样法  #基于firls函数和remez函数的最优化方法 
本篇介绍FIR数字滤波器的设计,可以根据所给定的频率特性直接设计FIR数字滤波器。FIR数字滤波器在保证幅度特性满足要求的同时,能够做到严格的线性特性。本篇采用了窗函数法、频率采样法以及基于firls函数和remez函数的最优化方法设计FIR滤波器。对FIR滤波器进行了详细的理论分析,并且对应于每种方法都给出了设计实例。通过编写MATLAB语言程序,运行程序,得到幅频和相频特性图。
FPGA技术江湖 More
ARM Cortex-A7时钟树综合实战分析
#后端  #时钟树综合  #后端实战 
吾爱IC社区是一个专业技术交流和分享数字IC设计与实现技术与经验的高端技术交流社区,目前社区高端已经拥有近1500位高级会员。
吾爱IC社区 More