IC技术圈期刊 2020年 第12期

类别: FPGA(25) 前端(3) 验证(4) 后端(1) 嵌入式(0) 自动化(0) 模拟(0) 求职就业(0) 管理(0) 软件(0) 按月份
数字芯片工程师技能评估
#FPGA  #数字芯片设计 
分为6个维度,每个维度分为若干子项,单独评分生成雷达图,用于面试求职的定量评估。
不忘出芯 More
Polar Encoder/Decoder IP核使用方法总结
#FPGA  #5G  #Polar 
基于5G NR标准协议,介绍Xilinx的Polar IP核用于Polar编码的使用方法。
科学文化人 More
Win10 WSL + Linux 开源 EDA(三)
#验证  #开源  #EDA 
本期介绍一款开源的 VCD 波形查看器。
icsoc More
Vivado HLS 最全学习指南及资料
#FPGA hls 
自上次汇总了HLS视频 Vivado HLS视频汇总 之后,本文将为大家学习HLS提供一些讲解以及资料汇总。
ZYNQ More
SpinalHDL代码组织结构之Component
#FPGA  #SpinalHDL 
我们在编写Verilog代码时,代码的的组织往往是按照module来组织的,而在SpianlHDL里,与之相对的是Component,SpianlHDL里我们编写的每个class继承Component则与之对应的在生成RTL时会相对生成一个module
似猿非猿的FPGA More
SpinalHDL—像软件调用方法般例化模块
#FPGA  #SpinalHDL 
在编写Verilog代码时最痛苦的事情便是例化模块时端口的连接,这时候的你我便成了连线工程师,本节就在SpinalHDL中如何像软件调用方法那样优雅地例化端口进行探讨。
似猿非猿的FPGA More
SpinalHDL—Area
#FPGA  #SpinalHDL 
在我们编写Verilog或者SysytemVerilog时,我们的代码基本都以module来进行组织,而针对一些比较通用的模块组件,我们或组织成一个单独的model,或者放在一个function中(仅限于纯组合逻辑)。本篇介绍SpinalHDL中的Area的概念。
似猿非猿的FPGA More
SpinalHDL—Function
#FPGA  #SpinalHDL 
聊一聊SpinalHDL中Function的使用,软为硬用。
似猿非猿的FPGA More
SpinalHDL—if向左、when向右
#FPGA  #SpinalHDL 
聊一聊如何理解SpinalHDL中scala原有语法和SpinalHDL中生成电路语法的角色扮演
似猿非猿的FPGA More
半导体厂商如何做芯片的出厂测试?
#FPGA  #IC测试  #测试流程 
本文主要介绍IC测试的流程以及步骤,通过本文能了解超大规模集成电路电路测试原理,测试的重要性以及测试工程师的工作。
温故知芯 More
AXI DMA测试-AXI总线最后一章
#FPGA  #AXI  #FPGA  #DMA 
AXI总线介绍的最后一章,包括整个系列文章的思维导图,文章后面是其他文章的链接
OpenFPGA More
揭秘:普通电脑换上Xilinx Alveo U50 100G网卡传文件会有多快?
#FPGA  #100G  #开源  #刚玉  #SmartNIC 
用VCU118和U50实现了两台普通电脑通过100G光纤互连,数据传输速率最高35Gbps
网络交换FPGA More
写Verilog如何做到心中有电路?
#前端  #ASIC  #Verilog 
学习Verilog的五个阶段 00:心中无电路,代码无电路 01:心中有电路,代码无电路 10:心中有电路,代码有电路 11:心中无电路,代码有电路 00:心中无电路,代码无电路
硅农 More
SoC设计之功耗 - RTL功耗计算
#前端  #SoC  #功耗 
结合工具介绍RTL功耗计算和优化的概念及方法
老秦谈芯 More
FPGA项目开发:基于JESD204B的LMK04821芯片项目开发经验分享
#FPGA  #FPGA  #JESD204B的LMK04821芯片项目开发  #项目经验分享 
LMK0482X系列是德州仪器推出的高性能时钟调节芯片系列,该芯片目前有三种,分别为LMK04821、LMK04826以及LMK04828,该系列芯片都支持最新的JESD204B协议。本次调试主要以LMK04821为例,对调试过程中出现的一些问题进行总结说明。
FPGA技术江湖 More
FPGA零基础学习:Intel FPGA 开发流程
#FPGA  #FPGA零基础学习  #FPGA开发流程  #通俗易懂实用型教程 
本次带来FPGA系统性学习系列,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。
FPGA技术江湖 More
一个加法器的UVM验证平台设计
#验证  #UVM  #IC验证  #验证平台搭建 
以入门的角度进行一个加法器的UVM验证平台设计
Andy的ICer之路 More
SystemVerilog与功能验证方法学
#FPGA  #FPGA  #UVM  #SystemVerilog  #芯片 
介绍SystemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人 More
高速串行总线设计基础(一)同步时序模型介绍
#FPGA  #高速串行接口  #MGT  #Transceiver 
介绍了三种时序模型用于芯片间通信,即系统同步,源同步以及自同步。
FPGA LAB/李锐博恩 More
深度解析,AD9361增益控制详解
#FPGA  #AD9361  #ZYNQ 
AD9361增益控制详解
ZYNQ More
5G NR信道编码
#FPGA  #5G  #LDPC  #Polar 
从土耳其Erdal Arikan教授说起,5G NR信道编码,LDPC码与Polar码俱全。
科学文化人 More
【FPGA大赛作品】FPGA 上的RISC-V开发平台(一等奖)
#FPGA #FPGA 
本次介绍来着重庆邮电大学的潘星雨团队的作品,该作品大赛一等奖,最佳创意奖,重庆邮电大学也被荣获优秀组织奖。潘星雨同学也作为参赛学生代表宣誓。
数字积木 More
SV数组定义迷思
#FPGA  #systemverilog  #数组 
当定义多维数组时,需要注意什么?
摸鱼范式 More
【Vivado使用误区与进阶】总结篇
#FPGA  #FPGA  #Vivado 
【Vivado使用误区与进阶】总结篇 包含TCL应用等各方面Vivado使用技巧和技术
OpenFPGA More
【Vivado那些事儿】两种创建工程的方法
#FPGA  #Vivado  #工程创建 
本篇介绍了两种创建工程的方法,一种是根据向导进行创建,一种是直接使用tcl指令进行创建,还简单介绍了工程参数在哪进行更改。
电子狂人 More
【Vivado那些事】Vivado中常用的快捷键
#FPGA  #FPGA  #VIVADO 
【Vivado那些事】Vivado那些事是一个系列在更文章,设计使用技巧,常见问题等等,本期带来常用的快捷键,更多精彩欢迎关注公众号:OpenFPGA
OpenFPGA More
SystemVerilog中的Process(2)--进程的控制
#验证  #SV 
本文主要讲解named block、wait _order、wait _fork、disable、SystemVerilog中的内建process类等
杰瑞IC验证 More
”灵动“EpicElf
#FPGA  #FPGA 
“灵动”(EpicElf):高性能多功能可编程适配解决方案
EDAGit More