IC技术圈期刊 2020年 第11期

类别: FPGA(13) 前端(7) 验证(4) 后端(3) 嵌入式(0) 自动化(1) 模拟(2) 求职就业(3) 管理(0) 软件(0) 按月份
AD9361的配置,代码,采样,脚本转换,软件使用
#FPGA  #AD9361  #ZYNQ 
本文详细描述了AD9361的配置,代码,采样,脚本转换,软件使用等等,帮助读者能够快速了解AD9361,在实际项目组了解,也提供了测试方法与测试技能,不可多得的学习好方法好实践,提升我们的动手能力。
ZYNQ More
为什么你觉得FPGA难学?如何入门?
#FPGA  #FPGA  #学习经验分享  #学习避坑指南 
为什么你觉得FPGA难学?如何入门?FPGA为什么是可以编程的?“真值表”内部值是什么?本篇给你带来全面的学习解惑。
FPGA技术江湖 More
2021秋招总结(器件方向转行数字IC设计)
#求职就业  #求职  #面试经验  #数字设计 
本文分享了器件转数字设计的成功校招笔试和面试经历。
数字芯片设计 More
IC前端设计技能树及相关资料推荐
#前端  #IC  #前端  #技能树  #推荐  #资料  #书籍 
本文给出了IC前端工程师的技能树以及相关书籍推荐,里面推荐的每一份资料和书籍都有下载链接。
IC小迷弟 More
常用电路设计——“分频电路”
#前端  #数字IC  #前端  #Verilog  #偶数分频  #奇数分频  #小数分频  #求职  #手撕代码 
本文分享了数字电路中设计常见的时钟分频电路设计,包括偶数分频、奇数分频、小数分频,除了从Verilog代码的角度给出分频电路的设计思路之外,本文还提供了直接从逻辑电路角度出发的设计方法。
数字IC剑指offer More
我的第三个UVM代码——把testcase与driver分开
#验证  #UVM 
在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的验证环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的验证架构,可以根据自己的需要进行裁剪。
ExASIC More
“EDA算法”入门课程与书籍推荐
#自动化  #EDA  #课程  #书籍 
入门课程与书籍推荐之“EDA算法”
网络交换FPGA More
静态时序分析圣经翻译计划
#前端  #STA  #时序分析 
Static Timing Analysis for Nanometer Designs:A Practical Approach
摸鱼范式 More
数字后端面试高频问题
#后端  #数字IC  #后端  #求职  #面试  #芯片物理设计 
数字后端相比于前端门槛较高,但知识技能树非常清晰,包括:data setup、floorplan、placement、cts、routing、DFM、DRC/LVS、ECO等。本公众号推出的专题——“后端面试高频问题”分模块对数字芯片物理设计相关知识点进行了整理,以帮助大家轻松应对数字后端的笔面试。
数字IC剑指offer More
AXI-Stream代码详解​
#FPGA  #AXI  #代码 
简单介绍AXI-Stream代码及仿真,会有源码分享
OpenFPGA More
标准单元库高度选择
#后端 
标准单元库的选择非常重要,选择一套适合的库,对于芯片时序收敛,物理收敛,以及最终芯片的PPA
白话IC More
Verilog如何实现低功耗设计?
#FPGA  #ASIC  #FPGA 
做芯片第一应该关注的是芯片的PPA(Performance, Power, Area),本篇浅显的部分讨论,第二个 P,Power功耗,在RTL设计中如何做到低功耗设计,对于移动设备续航的十分重要,不要让你的芯片徒增功耗。
硅农 More
CPU如何“读懂”代码?
#模拟  #CPU 
详述CPU是如何识别代码的,不来瞧瞧吗?
电子狂人 More
你还在用plusargs传递参数吗?来试试这个玩法~
#验证  #UVM  #SV 
当面对很多验证组件,并且有很多命令行参数需要传递的时候,如何才能简单的实现给这些组件传递命令行参数呢?
杰瑞IC验证 More
IC验证工程师高效战斗手册--验证feature文档梳理
#验证  #效率  #流程 
本文我们一起探讨如何又快又好的梳理验证测试点这个文档?怎样在验证过程中充分使用这个文档?
杰瑞IC验证 More
BUF、BUFG、BUFGCE、BUFR、IBUF、IBUFDS、IDDR、ODDR
#FPGA  #fpga  #c++  #数字ic  #面试 
欢迎关注微信公众号《精进攻城狮》,不断分享FPGA、IC、C++相关笔试面试题。
精进攻城狮 More
ila抓取数据,matlab分析,调试AD9361信号通路
#FPGA  #ZYNQ  #AD9361 
上次我们介绍了AD9361的配置,代码,采样,脚本转换,软件使用等等,今天我们来调试并使用ila抓取实测数据,使用matlab分析其原因。
ZYNQ More
Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目​
#FPGA  #开源  #github  #verilog  #vhdl 
欢迎关注公众号【OpenGPGA】 介绍一下github上有哪些值的参考的开源项目,如果想做一些好玩的项目值的参考
OpenFPGA More
Win10 WSL + Linux 开源 EDA(一)
#前端  #开源  #工作环境 
本系列文章首先介绍 WSL 的安装和使用技巧,然后尝试在 WSL 的 Linux 发行版上搭建一个完全开源的 EDA 环境,以方便朋友们在一个完全合法的软件环境中去学习和研究 IC 和 EDA 相关的技术。
icsoc More
做好芯片设计,这三种能力不可或缺
#求职就业  #职场 
快速学习,分析调试,高效沟通
不忘出芯 More
剖析开关电源IC
#模拟  #IC  #电源IC  #剖析IC 
以一颗DC/DC降压电源芯片LM2675为例,尽量详细讲解一颗芯片的内部设计原理和结构。
电子狂人 More
格雷码也不能完全异步处理
#前端  #design  #异步FIFO 
异步路径也不是完全就可以不用约束了…
码农的假期 More
FPGA图像处理——老戏新说
#FPGA  #SpinalHDL  #图像处理 
针对FPGA图像处理的Sobel检测算法,以SpinalHDL为开发语言进行实现,相较于Verilog,能够在不损失性能条件下快速进行算法验证。
似猿非猿的FPGA More
数字IC前端设计/FPGA设计必备知识——“常识”
#前端  #数字IC  #芯片  #fpga  #设计 
数字IC/FOGA设计基础知识,各自对照,查漏补缺。
数字IC前端设计学习交流 More
SystemVerilog与功能验证方法学
#验证  #FPGA  #UVM  #SystemVerilog 
介绍SyetemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人 More
Polar Encoder/Decoder IP核使用方法总结
#FPGA  #Polar  #5G  #FPGA 
从Polar码编码角度,介绍Xilinx的Polar Encoder/Decoder IP核的使用方法。
科学文化人 More