IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
AD9361的配置,代码,采样,脚本转换,软件使用
#FPGA  #AD9361  #ZYNQ 
本文详细描述了AD9361的配置,代码,采样,脚本转换,软件使用等等,帮助读者能够快速了解AD9361,在实际项目组了解,也提供了测试方法与测试技能,不可多得的学习好方法好实践,提升我们的动手能力。
ZYNQ
为什么你觉得FPGA难学?如何入门?
#FPGA  #FPGA  #学习经验分享  #学习避坑指南 
为什么你觉得FPGA难学?如何入门?FPGA为什么是可以编程的?“真值表”内部值是什么?本篇给你带来全面的学习解惑。
FPGA技术江湖
2021秋招总结(器件方向转行数字IC设计)
#求职就业  #求职  #面试经验  #数字设计 
本文分享了器件转数字设计的成功校招笔试和面试经历。
数字芯片设计
IC前端设计技能树及相关资料推荐
#前端  #IC  #前端  #技能树  #推荐  #资料  #书籍 
本文给出了IC前端工程师的技能树以及相关书籍推荐,里面推荐的每一份资料和书籍都有下载链接。
IC小迷弟
常用电路设计——“分频电路”
#前端  #数字IC  #前端  #Verilog  #偶数分频  #奇数分频  #小数分频  #求职  #手撕代码 
本文分享了数字电路中设计常见的时钟分频电路设计,包括偶数分频、奇数分频、小数分频,除了从Verilog代码的角度给出分频电路的设计思路之外,本文还提供了直接从逻辑电路角度出发的设计方法。
数字IC剑指offer
我的第三个UVM代码——把testcase与driver分开
#验证  #UVM 
在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的验证环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的验证架构,可以根据自己的需要进行裁剪。
ExASIC
“EDA算法”入门课程与书籍推荐
#自动化  #EDA  #课程  #书籍 
入门课程与书籍推荐之“EDA算法”
网络交换FPGA
静态时序分析圣经翻译计划
#前端  #STA  #时序分析 
Static Timing Analysis for Nanometer Designs:A Practical Approach
摸鱼范式
数字后端面试高频问题
#后端  #数字IC  #后端  #求职  #面试  #芯片物理设计 
数字后端相比于前端门槛较高,但知识技能树非常清晰,包括:data setup、floorplan、placement、cts、routing、DFM、DRC/LVS、ECO等。本公众号推出的专题——“后端面试高频问题”分模块对数字芯片物理设计相关知识点进行了整理,以帮助大家轻松应对数字后端的笔面试。
数字IC剑指offer
AXI-Stream代码详解​
#FPGA  #AXI  #代码 
简单介绍AXI-Stream代码及仿真,会有源码分享
OpenFPGA
标准单元库高度选择
#后端 
标准单元库的选择非常重要,选择一套适合的库,对于芯片时序收敛,物理收敛,以及最终芯片的PPA
白话IC
Verilog如何实现低功耗设计?
#FPGA  #ASIC  #FPGA 
做芯片第一应该关注的是芯片的PPA(Performance, Power, Area),本篇浅显的部分讨论,第二个 P,Power功耗,在RTL设计中如何做到低功耗设计,对于移动设备续航的十分重要,不要让你的芯片徒增功耗。
硅农
CPU如何“读懂”代码?
#模拟  #CPU 
详述CPU是如何识别代码的,不来瞧瞧吗?
电子狂人
你还在用plusargs传递参数吗?来试试这个玩法~
#验证  #UVM  #SV 
当面对很多验证组件,并且有很多命令行参数需要传递的时候,如何才能简单的实现给这些组件传递命令行参数呢?
杰瑞IC验证
IC验证工程师高效战斗手册--验证feature文档梳理
#验证  #效率  #流程 
本文我们一起探讨如何又快又好的梳理验证测试点这个文档?怎样在验证过程中充分使用这个文档?
杰瑞IC验证
BUF、BUFG、BUFGCE、BUFR、IBUF、IBUFDS、IDDR、ODDR
#FPGA  #fpga  #c++  #数字ic  #面试 
欢迎关注微信公众号《精进攻城狮》,不断分享FPGA、IC、C++相关笔试面试题。
精进攻城狮
ila抓取数据,matlab分析,调试AD9361信号通路
#FPGA  #ZYNQ  #AD9361 
上次我们介绍了AD9361的配置,代码,采样,脚本转换,软件使用等等,今天我们来调试并使用ila抓取实测数据,使用matlab分析其原因。
ZYNQ
Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目​
#FPGA  #开源  #github  #verilog  #vhdl 
欢迎关注公众号【OpenGPGA】 介绍一下github上有哪些值的参考的开源项目,如果想做一些好玩的项目值的参考
OpenFPGA
Win10 WSL + Linux 开源 EDA(一)
#前端  #开源  #工作环境 
本系列文章首先介绍 WSL 的安装和使用技巧,然后尝试在 WSL 的 Linux 发行版上搭建一个完全开源的 EDA 环境,以方便朋友们在一个完全合法的软件环境中去学习和研究 IC 和 EDA 相关的技术。
icsoc
剖析开关电源IC
#模拟  #IC  #电源IC  #剖析IC 
以一颗DC/DC降压电源芯片LM2675为例,尽量详细讲解一颗芯片的内部设计原理和结构。
电子狂人
格雷码也不能完全异步处理
#前端  #design  #异步FIFO 
异步路径也不是完全就可以不用约束了…
码农的假期
FPGA图像处理——老戏新说
#FPGA  #SpinalHDL  #图像处理 
针对FPGA图像处理的Sobel检测算法,以SpinalHDL为开发语言进行实现,相较于Verilog,能够在不损失性能条件下快速进行算法验证。
似猿非猿的FPGA
SystemVerilog与功能验证方法学
#验证  #FPGA  #UVM  #SystemVerilog 
介绍SyetemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人
Polar Encoder/Decoder IP核使用方法总结
#FPGA  #Polar  #5G  #FPGA 
从Polar码编码角度,介绍Xilinx的Polar Encoder/Decoder IP核的使用方法。
科学文化人
数字芯片工程师技能评估
#FPGA  #数字芯片设计 
分为6个维度,每个维度分为若干子项,单独评分生成雷达图,用于面试求职的定量评估。
不忘出芯
Polar Encoder/Decoder IP核使用方法总结
#FPGA  #5G  #Polar 
基于5G NR标准协议,介绍Xilinx的Polar IP核用于Polar编码的使用方法。
科学文化人
Win10 WSL + Linux 开源 EDA(三)
#验证  #开源  #EDA 
本期介绍一款开源的 VCD 波形查看器。
icsoc
Vivado HLS 最全学习指南及资料
#FPGA hls 
自上次汇总了HLS视频 Vivado HLS视频汇总 之后,本文将为大家学习HLS提供一些讲解以及资料汇总。
ZYNQ
SpinalHDL代码组织结构之Component
#FPGA  #SpinalHDL 
我们在编写Verilog代码时,代码的的组织往往是按照module来组织的,而在SpianlHDL里,与之相对的是Component,SpianlHDL里我们编写的每个class继承Component则与之对应的在生成RTL时会相对生成一个module
似猿非猿的FPGA
SpinalHDL—像软件调用方法般例化模块
#FPGA  #SpinalHDL 
在编写Verilog代码时最痛苦的事情便是例化模块时端口的连接,这时候的你我便成了连线工程师,本节就在SpinalHDL中如何像软件调用方法那样优雅地例化端口进行探讨。
似猿非猿的FPGA
SpinalHDL—Area
#FPGA  #SpinalHDL 
在我们编写Verilog或者SysytemVerilog时,我们的代码基本都以module来进行组织,而针对一些比较通用的模块组件,我们或组织成一个单独的model,或者放在一个function中(仅限于纯组合逻辑)。本篇介绍SpinalHDL中的Area的概念。
似猿非猿的FPGA
SpinalHDL—Function
#FPGA  #SpinalHDL 
聊一聊SpinalHDL中Function的使用,软为硬用。
似猿非猿的FPGA
SpinalHDL—if向左、when向右
#FPGA  #SpinalHDL 
聊一聊如何理解SpinalHDL中scala原有语法和SpinalHDL中生成电路语法的角色扮演
似猿非猿的FPGA
半导体厂商如何做芯片的出厂测试?
#FPGA  #IC测试  #测试流程 
本文主要介绍IC测试的流程以及步骤,通过本文能了解超大规模集成电路电路测试原理,测试的重要性以及测试工程师的工作。
温故知芯
AXI DMA测试-AXI总线最后一章
#FPGA  #AXI  #FPGA  #DMA 
AXI总线介绍的最后一章,包括整个系列文章的思维导图,文章后面是其他文章的链接
OpenFPGA
揭秘:普通电脑换上Xilinx Alveo U50 100G网卡传文件会有多快?
#FPGA  #100G  #开源  #刚玉  #SmartNIC 
用VCU118和U50实现了两台普通电脑通过100G光纤互连,数据传输速率最高35Gbps
网络交换FPGA
写Verilog如何做到心中有电路?
#前端  #ASIC  #Verilog 
学习Verilog的五个阶段 00:心中无电路,代码无电路 01:心中有电路,代码无电路 10:心中有电路,代码有电路 11:心中无电路,代码有电路 00:心中无电路,代码无电路
硅农
SoC设计之功耗 - RTL功耗计算
#前端  #SoC  #功耗 
结合工具介绍RTL功耗计算和优化的概念及方法
老秦谈芯
FPGA项目开发:基于JESD204B的LMK04821芯片项目开发经验分享
#FPGA  #FPGA  #JESD204B的LMK04821芯片项目开发  #项目经验分享 
LMK0482X系列是德州仪器推出的高性能时钟调节芯片系列,该芯片目前有三种,分别为LMK04821、LMK04826以及LMK04828,该系列芯片都支持最新的JESD204B协议。本次调试主要以LMK04821为例,对调试过程中出现的一些问题进行总结说明。
FPGA技术江湖
FPGA零基础学习:Intel FPGA 开发流程
#FPGA  #FPGA零基础学习  #FPGA开发流程  #通俗易懂实用型教程 
本次带来FPGA系统性学习系列,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。
FPGA技术江湖
一个加法器的UVM验证平台设计
#验证  #UVM  #IC验证  #验证平台搭建 
以入门的角度进行一个加法器的UVM验证平台设计
Andy的ICer之路
SystemVerilog与功能验证方法学
#FPGA  #FPGA  #UVM  #SystemVerilog  #芯片 
介绍SystemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人
高速串行总线设计基础(一)同步时序模型介绍
#FPGA  #高速串行接口  #MGT  #Transceiver 
介绍了三种时序模型用于芯片间通信,即系统同步,源同步以及自同步。
FPGA LAB/李锐博恩
5G NR信道编码
#FPGA  #5G  #LDPC  #Polar 
从土耳其Erdal Arikan教授说起,5G NR信道编码,LDPC码与Polar码俱全。
科学文化人
【FPGA大赛作品】FPGA 上的RISC-V开发平台(一等奖)
#FPGA #FPGA 
本次介绍来着重庆邮电大学的潘星雨团队的作品,该作品大赛一等奖,最佳创意奖,重庆邮电大学也被荣获优秀组织奖。潘星雨同学也作为参赛学生代表宣誓。
数字积木
SV数组定义迷思
#FPGA  #systemverilog  #数组 
当定义多维数组时,需要注意什么?
摸鱼范式
【Vivado使用误区与进阶】总结篇
#FPGA  #FPGA  #Vivado 
【Vivado使用误区与进阶】总结篇 包含TCL应用等各方面Vivado使用技巧和技术
OpenFPGA
【Vivado那些事儿】两种创建工程的方法
#FPGA  #Vivado  #工程创建 
本篇介绍了两种创建工程的方法,一种是根据向导进行创建,一种是直接使用tcl指令进行创建,还简单介绍了工程参数在哪进行更改。
电子狂人
【Vivado那些事】Vivado中常用的快捷键
#FPGA  #FPGA  #VIVADO 
【Vivado那些事】Vivado那些事是一个系列在更文章,设计使用技巧,常见问题等等,本期带来常用的快捷键,更多精彩欢迎关注公众号:OpenFPGA
OpenFPGA
SystemVerilog中的Process(2)--进程的控制
#验证  #SV 
本文主要讲解named block、wait _order、wait _fork、disable、SystemVerilog中的内建process类等
杰瑞IC验证
”灵动“EpicElf
#FPGA  #FPGA 
“灵动”(EpicElf):高性能多功能可编程适配解决方案
EDAGit
深度解析,AD9361增益控制详解
#FPGA  #AD9361  #ZYNQ 
深度解析,AD9361接收增益的调整是由模拟增益和数字增益共同决定的,AD9361增益控制详解
ZYNQ
门控时钟_Clock Gating
#前端  #门控时钟  #Clock Gating  #功耗 
本次简要讨论数字IP/IC设计中非常成熟的降功耗技术。
数字IC前端设计学习交流
一种介绍DPU架构(自适应交换机)的文章
#FPGA  #FPGA  #SmartNIC  #DPU 
文章由新加坡Xilinx/西交大的 胡成臣老师共同撰写,发表在2020年12月IEEE Communication Magazine上,其主旨思想,是利用FPGA作为协处理器,补充现有可编程交换ASIC的不足,给出了三个场景(NDP、DISCO、Stateful Firewall)作为例证;代码已在Github开源。
网络交换FPGA
基于FPGA的实时图像边缘检测系统设计
#FPGA  #FPGA  #sobel算法  #实时图像采集系统 
本篇设计了基于FPGA的实时图像边缘检测系统,从图像实时采集、灰度化处理彩色图像转黑白、中值滤波去噪处理、边缘检测sobel算法处理、SDRAM存储、VGA/HDMI/LVDS显示等,完整的流程,设计了一个完整的系统,还有参考代码,实时显示检测得到的图像边缘信息,很不错的参考案例。
FPGA技术江湖
基于FPGA的以太网控制器(MAC)设计
#FPGA  #FPGA  #以太网  #底层驱动设计 
本篇详细介绍了基于FPGA的以太网控制器(MAC)设计,有详细设计框架,自顶向下设计思路,从顶层模块,到媒体无关接口模块、数据发送模块、数据接收模块、控制模块等分模块设计,还有参考代码,可以作为很不错的参考内容。
FPGA技术江湖
从Verilog到SpinalHDL
#FPGA  #SpinalHDL 
SpinalHDL目前用的人不多,但小家碧玉未尝不是绝代佳人,SpinalHDL系列笔记,感兴趣的小伙伴可以关注下,习惯了SpinalHDL你不会再想手写Verilog
似猿非猿的FPGA
你刚写完接口,我已经把功能实现了
#FPGA  #SpinalHDL 
三两行代码实现一个模块,让工作轻松一点儿不好么。SpinalHDL加速电路设计
似猿非猿的FPGA
以变量为索引,取指定之位宽
#FPGA 
聊一聊SpinalHDL里如何动态选取变量中指定宽度的数据。
似猿非猿的FPGA
关于工作的几个想法
#求职就业  #职业  #打工人  #独立思考 
最近一段时间“996”、“打工人”的话题层出不穷,这篇旧文是作者工作多年的一点感悟,希望对大家针对此类话题做独立思考时有所助益。
icsoc
RTL设计风格指南
#FPGA  #FPGA  #RTL设计 
为大家推荐一份RTL设计风格指南。
科学文化人
5G NR信道编码
#FPGA  #FPGA  #5G  #LDPC  #Polar 
介绍5G NR信道编码方案:LDPC和Polar编解码。
科学文化人
几十张PPT带你了解国产FPGA研究框架
#FPGA  #国产FPGA  #框架 
FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试测量等广泛的领域。而应用的变化也使FPGA产品近几年的演进趋势越来越明显:一方面,FPGA供应商致力于采用当前最先进的工艺来提升产品的性能,降低产品的成本;另一方面,越来越多的通用IP(知识产权)或客户定制IP被引入FPGA中,以满足客户产品快速上市的要求。此外,FPGA企业都在大力降低产品的功耗,满足业界越来越苛刻的低功耗需求。   之前有分享过《国产FPGA概况》,大概介绍过国产FPGA的一些情况,这篇文章将带你更深入了解国产FPGA。
OpenFPGA
零基础入门FPGA,如何学习?
#FPGA  #入门  #FPGA 
本人零基础,想学FPGA,求有经验的人说说,我应该从哪入手,应该看什么教程,应该用什么学习板和开发板,看什么书等,希望有经验的好心人能够给我一些引导。
OpenFPGA
ARM系列 -- PCSA(一)
#前端  #ARM  #PCSA 
在ARM体系中玩转SoC功耗设计
老秦谈芯
ARM系列 -- PCSA(二)
#前端  #ARM  #PCSA 
在ARM体系中玩转SoC功耗设计
老秦谈芯
最简timing signoff checklist
#后端 signoff 
今天我们聊一下timing signoff需要检查哪些内容。列一个最简单的check list。
白话IC
低功耗技术(一)动态功耗与静态功耗
#前端  #低功耗技术 
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,今天主要介绍一下IC设计中最重要的低功耗技术
Andy的ICer之路
低功耗技术(二)常见的低功耗技术
#前端  #低功耗技术 
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,今天主要介绍一下IC设计中最重要的低功耗技术
Andy的ICer之路
如何使用PT对电路进行功耗分析
#前端  #功耗分析  #PT 
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,这篇文章主要介绍如何使用PT对电路进行功耗分析,并提供PT脚本
Andy的ICer之路
SV中import和include的区别
#验证  #SV 
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,这篇文章主要介绍SV中import和include的区别
Andy的ICer之路
GVIM中的变量替换
#前端  #GVIM工具使用 
此公众号主要分享数字IC相关的学习经验,做公众号的目的就是记录自己的学习过程,这篇文章主要介绍GVIM中的变量替换
Andy的ICer之路
浅谈 RISC-V 软件开发生态之 IDE
#嵌入式  #RISC-V  #开源生态 
一些关于 RISC-V 开发的软件生态相关,主要是关于 RISC-V 的开发 IDE 的一些思考
strongwong
Verilog代码设计之时分复用
#FPGA  #ASIC  #Verilog 
复用虽好,但也要适时、适度。
硅农
从时钟结构上解决multi clock之间的balance矛盾
#前端  #CTS  #时钟  #结构 
给出了时钟结构设计的一个小方法,能够避免在CTS阶段多时钟之间的balance矛盾,消除CTS-1902警告,有利于减小clock skew,从而加快时序收敛。
IC小迷弟
FPGA零基础学习:数字通信中的电压标准
#FPGA  #FPGA  #数字电路  #电压标准 
现在数字通信系统中,I/O电压标准包括早期的TTL标准,CMOS标准,LVTTL标准,LVCMOS标准,RS232,RS485标准以及HSTL(High Speed Transceiver Logic)标准和较新的LVDS(Low-Voltage Differential Signal)等标准。不同的标准支持的器件不同,支持的传输速度不同,支持的噪声容限也不同。从另一个方面来看,I/O标准的进步反映了数字系统的进步。在实际中……
FPGA技术江湖
基于FPGA的数字视频信号处理器设计
#FPGA  #FPGA  #数字视频信号处理  #系统设计 
视频信号由一系列连续的图像组成。对视频信号的处理已经成为数字图像处理领域中重要的一部分。例如机器人模式识别的过程就是一个视频信号处理的过程,电视制导导弹识别目标就是充分利用视频信号处理技术不断判断目标是否和预先设定目标图像一致。本篇将讲解如何用 FPGA 技术实现基本的视频信号处理。本篇的例子可以作为各位大侠进行视频信号处理时的一个参考,也可以在这个基础上根据需要进行扩展。
FPGA技术江湖
通信系统中的信道编码技术
#FPGA  #FPGA  #5G  #信道编码 
通信系统是为了将信源信息高效、可靠地传送到接收端。有扰通信信道的噪声会对传输信息产生干扰,从而可能降低通信可靠性。所以,通信系统设计的中心问题是在随机噪声干扰下如何有效而可靠地传输信息。本文主要介绍了通信系统模型、信道编码发展历程、LDPC码和Polar码,对于信道编码技术做了概述。对于从事相关行业的人员,可进一步深入研究,了解编解码原理,特别是基于FPGA开发出具有自主知识产权的IP功能模块。
科学文化人
IC验证er一起学点设计模式(1)---单例模式
#验证  #SV  #UVM  #面向对象 
众所周知,目前IC验证行业使用最主流的语言是SystemVerilog,这个语言有一个重要特点就是它是面向对象的语言。对于面向对象的语言,想要把代码写得更“牛逼”,其实就绕不开一个概念叫“设计模式”。
杰瑞IC验证
基于Verilog的“自适应”形态学滤波算法实现
#FPGA  #FPGA  #数字图像处理  #形态学滤波 
针对不同的使用场景涉及到腐蚀、膨胀、开闭运算等处理,本文实现了一个通用的算法IP,只需要修改模板窗口大小和工作模式(腐蚀or膨胀)参数即可,达到“自适应”目的,避免重复低效的工作。
FPGA自习室
从Verilog到SpinalHDL
#FPGA  #SpinalHDL 
“小家碧玉”未尝不是绝代佳人。
似猿非猿的FPGA
探索Vitis HPC开发之资源导览
#FPGA  #Xilinx  #Vitis  #FPGA  #HPC 
本文是XUP Vitis的Compute Acceleration教程的内容概览以及部分踩坑记录,希望可以帮助到小伙伴可以在本地体验实现一个计算加速Demo,对Vitis开发优化有个大概的了解。
小白仓库
在“芯片庭院”培育一颗多核异构 RISC-V SOC种子
#FPGA  #RISC-V  #Chisel  #FPGA  #SOC 
简要性的导览chipyard官方手册内容,以及安装开发环境需要注意的的一些地方,最后运行几个简单的官方Demo,希望能对RISC-V有兴趣的小伙伴有所启发帮助
小白仓库
跨时钟域那点事儿
#FPGA  #SpinalHDL 
每一个做数字逻辑的都绕不开跨时钟域处理,谈一谈SpinalHDL里用于跨时钟域处理的一些手段方法。
似猿非猿的FPGA
ZYNQ架构最全分析
#FPGA  #ZYNQ  #FPGA  #ARM 
本文介绍了架构最全分析
ZYNQ
VSCode:WaveForm在手,时序我有
#FPGA  #时序图 
从事数字逻辑设计的小伙伴总是要与时序图打交道,这里推荐一款“优雅"的时序图绘制插件:Waveform。
似猿非猿的FPGA
“最强”硬核游戏机-基于FPGA硬解游掌机样机展示(GameGirl)
#FPGA  #硬解  #掌机  #游戏机  #FPGA 
“最强”硬核游戏机-基于FPGA硬解游掌机样机展示(GameGirl),以FPGA为核心实现硬解NES SNES等经典游戏机
OpenFPGA
FPGA、Zynq 和 Zynq MPSoC简析及架构分析
#FPGA  #FPGA  #ZYNQ  #MPSoc 
Zynq MPSoC是Zynq-7000 SoC(之后简称Zynq)的进化版本。Zynq是赛灵思发布的集成PL(FPGA)和PS设计的最早的一代产品。如图2.1所示,在相对较高层次对比了三种器件。Zynq MPSoC的PS部分比Zynq的PS部分面积更大,也更复杂。本章,将介绍这三种器件的特点.
OpenFPGA
【一】基于Montgomery算法的高速、可配置RSA密码IP核硬件设计系列
#前端  #RSA  #蒙哥马利  #IP设计 
主要基于FPGA进行相关的硬件设计,也可以采用ASIC,对于硬件初学者来说,是一个很值得学习的地方,包括第八部分相关的加法器的实现;一些算法的硬件实现;一些随机数的产生;抵抗侧信道攻击的算法;SOC相关的AXI总线等;一些密码学的相关知识,如大数模乘、模幂。相关的软件的使用,如Vivado,Verdi,VCS等,语言的掌握,如Verilog,Python,SystemVerilog,C等
摸鱼范式
“硬件加速方法”第四轮MOOC将于2月26日开放
#前端 EDA  #芯片 
“芯动力——硬件加速设计方法”是目前MOOC课程中少有的几门讲授工业界主流ASIC、SOC设计技术的课程,于2019年12月在“中国大学MOOC”平台上线,迄今已经完成了三轮授课,选课人数逾6000多人。课程前三轮好评度为4.8星。第四轮课程即将于2月26日开课,欢迎对数字芯片设计与FPGA设计技术感兴趣的同学选课!本轮依然会为成绩最高分的同学送出奖品,具体奖品请后续关注课程公告。
网络交换FPGA
什么是CORDIC算法
#FPGA  #FPGA  #CORDIC算法 
介绍CORDIC算法基本原理、移位-加法算法、伸缩因子推导、在圆坐标系、线性坐标系和双曲线坐标系下的CORDIC公式及统一的通用方程。CORDIC可用于求解三角函数、反三角函数、开方等,在工程中,可用于生成DDS,求解I、Q信号的模及相位。
科学文化人
FPGA项目开发:204B实战应用-LMK04821代码详解
#FPGA  #204B  #LMK04821代码详解 
本篇带来“基于JESD204B的LMK04821芯片项目开发”项目开发经验分享第二篇,204B实战应用-LMK04821代码详解,附参考代码以及调试细节,这是实打实的项目开发经验分享,希望可以给有需要的大侠起到参考学习的作用。
FPGA技术江湖
CDC(一) 总线全握手跨时钟域处理
#前端  #前端  #CDC跨时钟域 
本文以一个总线全握手跨时钟域处理为例解析,单bit和多bit跨时钟处理。这里需要注意是多bit含义比较广泛和总线不是一个概念,如果多个bit之间互相没有任何关系,其实,也就是位宽大于1的单bit跨时钟处理问题,如果多个bit之间有关系,作为一个整体,那么我们就叫做总线。因此,大家常说的“多bit跨时钟处理”也就是总线跨时钟处理。
FPGA自习室
CDC(二) 单bit 脉冲跨时钟域处理
#前端  #CDC跨时钟域 
在设计脉冲同步器电路时有一个易错点,就是少了图中的红色椭圆的D触发器,这会可能导致脉冲同步器同步失败。这是因为脉冲展宽后信号是组合逻辑直接进行了单bit同步器(s2d sync)跨时钟域处理,而组合逻辑输出是有毛刺的,这样单bit同步器可能会采到毛刺导致多采现象。
FPGA自习室
你会在github上找项目吗?
#软件  #github  #查找资源 
很多的小伙伴,经常会有这样的困惑,我看了很多技术的学习文档、书籍、甚至视频,我想动手实践,于是我打开了GitHub,想找个开源项目,进行学习,获取项目实战经验。这个时候很多小伙伴就会面临这样的问题:“我不会搜啊,我该怎么找呀?”,最终只能放弃。 这篇文章,小编教你精准地在GitHub搜索项目。
OpenFPGA
VSCode:WaveForm在手,时序我有
#FPGA  #时序图 
从事数字逻辑设计的小伙伴总是要与时序图打交道,这里推荐一款“优雅"的时序图绘制插件:Waveform。
似猿非猿的FPGA
时钟域“定制”
#FPGA  #SpinalHDL 
聊一聊在SpinalHDL里时钟域中时钟的定制与命名。
似猿非猿的FPGA
与其在一起纠缠,不如“一别两宽”
#FPGA  #SpinalHDL 
在SpinalHDL里,其lib库处处可见Stream的身影,而在常用的逻辑设计里,尤其接口的处理中,握手信号的处理也是老生常谈的话题。而在接受设计里,SpinalHDL中的“一别两宽”式设计方式,着实让我赞同。
似猿非猿的FPGA
高速串行总线设计基础(四)眼图的形成原理
#FPGA  #眼图 
眼图的测量对于高速串行总线的重要性不言而喻,眼图反映了总线通道环境的优劣,信号的好坏等等,正确的识别眼图是一项基础技能,如果具体识别眼图呢?
FPGA LAB
FPGA逻辑设计回顾(6)多比特信号的CDC处理方式之异步FIFO
#FPGA  #CDC 
本文更新了过去对该主题的设计!具体搜我的有关异步FIFO的博客,李锐博恩。
FPGA LAB
FPGA逻辑设计回顾(8)单比特信号的CDC处理方式之Toggle同步器
#FPGA  #CDC 
本文作为本系列CDC的最后一篇吧,作为前几篇有关CDC处理的文章的补充,更多主题可前往我的博客:李锐博恩。
FPGA LAB
什么是超低功耗基准测试——ULPMark Benchmark
#嵌入式  #ULPMark  #超低功耗 
本文介绍了一下什么是超低功耗基准测试,即 ULPMark Benchmark,相关的知识点
strongwong
FPGA手撕代码——CRC校验码的多种Verilog实现方式
#求职就业  #FPGA  #笔试面试  #数字IC  #CRC  #求职就业 
用Verilog实现CRC-8的串行/并行计算,G(D)=D8+D2+D+1,多种实现方式,秋招求职必备,另外介绍2个工程中的CRC生成网站,可以用于实际工程开发。
FPGA探索者
使用Verdi的小技巧(二)
#前端  #eda工具技巧  #verilog仿真 
用Verdi分析Verilog仿真的信号调度。
icsoc
深度报告:GPU产业纵深及国产化替代
#FPGA  #FPGA  #国产GPU 
中美贸易战大背景下,国产化替代已经形成共识,GPU作为自主可控的核心要件,国产GPU的大规模商用化迫在眉睫。 我们主要从以下三个方面建立GPU的投资逻辑框架: 1、从专用计算时代看GPU的刚需 2、GPU产业链:先进制程数字芯片产业链 3、GPU产业链的纵深
OpenFPGA
5G概览:NR波形、帧结构与参数集
#FPGA  #5G  #NR 
介绍5G NR中的波形、帧结构与参数集。
科学文化人
如何写出高覆盖率的Verilog代码?
#前端  #ASIC  #Verilog 
芯片前端工程中,测试验证的核心理念:以提高覆盖率为核心。
硅农
数字IC设计与数字IC验证哪个好?
#验证  #IC设计  #IC验证 
详细解读了数字IC设计与数字IC验证的区别、前景等等
ZYNQ
FPGA/数字IC笔试题——序列检测(FSM状态机)【状态机序列检测】
#求职就业  #FPGA  #秋招  #笔试面试  #FSM状态机 
FSM有限状态机,序列产生,序列检测,是FPGA和数字IC相关岗位必须要掌握的知识点,在笔试和面试中都非常常见。
FPGA探索者
几款开源SDR平台对比介绍
#FPGA  #SDR  #ZYNQ  #开源 
SDR- Software Define Radio 即软件定义无线电,从20世纪90年代初开始,软件无线电(SoftwareRadio)的概念开始广泛流行起来。由于其强大的灵活性和开放性迅速受到国内外的关注。
OpenFPGA
​数据存储和传输的大小端问题
#验证  #前端 
吃茶叶蛋的时候,你会先磕破鸡蛋比较小的那一端,还是比较大的那一端?
杰瑞IC验证
系统设计精选 | 基于FPGA的扩频通信系统设计(附代码)
#FPGA  #扩频通信系统设计  #编解码  #扩频解扩 
本篇利用本原多项式产生伪随机序列用作扩频,通过同步模块对扩频后的信号进行捕获,通过直接序列解扩模块进行解扩。本篇给出了编解码、扩频解扩、同步的整体方案,使用Quartus实现功能,并结合Matlab和ModelSim对模块进行调试和测试,实现扩频通信模块的搭建仿真,验证其设计的正确性。
FPGA技术江湖
系统设计精选 | 基于FPGA的数字视频信号处理器设计(附代码)
#FPGA  #数字视频信号处理  #视频信号转换  #数字图像处理 
视频信号由一系列连续的图像组成。对视频信号的处理已经成为数字图像处理领域中重要的一部分。例如机器人模式识别的过程就是一个视频信号处理的过程,电视制导导弹识别目标就是充分利用视频信号处理技术不断判断目标是否和预先设定目标图像一致。本篇将讲解如何用 FPGA 技术实现基本的视频信号处理。本篇的例子可以作为各位大侠进行视频信号处理时的一个参考,也可以在这个基础上根据需要进行扩展。
FPGA技术江湖
FPGA图像处理项目汇总|源码
#FPGA  #FPGA  #数字视频图像处理 
FPGA自习室推出本人在FPGA图像处理开发过程中的点点积累并汇总列出各个条目,方便大家阅读;本期分享都算是比较基础的图像处理,主要涉及到颜色空间转换、滤波、图像分割以及目标识别定位等。如果刚好有入门FPGA图像处理技术领域的,应该会有一些帮助,后期会不断更新,欢迎大家关注
FPGA自习室
简谈:如何学习FPGA
#FPGA  #FPGA  #入门 
如何学习FPGA 入门需要掌握什么?
OpenFPGA
CRC校验verilog代码如何生成?
#FPGA  #FPGA  #CRC校验  #5G 
介绍两种CRC校验verilog/VHDL速成方法
科学文化人
SV开源库svlib学习
#验证  #sv  #验证 
开源库svlib的学习使用
摸鱼范式
AXI协议-写控制逻辑
#前端  #ASIC  #AXI 
只写有用的文章。
数字电路IC
数字IC校招笔试面试题汇总
#前端 #笔试题#面试题 
一网打尽校招笔试面试,助你拿offer!
数字ICer
经验分享 | 初学者对ZYNQ7000的一些疑问
#FPGA  #zynq  #经验分享 
依稀记得,当我第一次接触ZYNQ的时候,是在一个从零开始的项目中,可以说是需求都没确定,只是需要FPGA与ARM结合的平台,在迷茫的选择中,我选择了xilinx zynq7z035ffg676这个型号的板子。
ZYNQ
硬件设计之 Distributed Arithmetic 一例
#FPGA  #硬件设计  #硬件算法 
“求一个32位整数的二进制表示中 1 的数量”的硬件算法。
icsoc
FPGA学习视频 | 逻辑分析仪、Signal Tap、Chipchop、Vivado使用
#FPGA  #逻辑分析仪  #Signal Tap  #Chipchop 
FPGA零基础学习视频系列之FPGA之逻辑分析仪使用、Quartus Signal Tap、ISE Chipchop、Vivado使用。
FPGA技术江湖
FPGA | 定点数、浮点数学习视频
#FPGA  #定点数  #浮点数 
FPGA之定点数、浮点数直播录播视频,可以作为基于FPGA的定点数、浮点数学习的参考视频。
FPGA技术江湖
听说你少一个绘图工具
#FPGA  #时序图 
今日给诸君推荐一个免费的绘图工具——Draw.io Integration
Spinal FPGA
“IP”库生成
#FPGA  #SpinalHDL 
基于IDEA生成jar包导出个人SpinalHDL IP设计。
Spinal FPGA
你想要的马車520直播回放链接在这里
#FPGA  #SpinalHDL 
马車昨晚关于Spinal520直播你看了么
Spinal FPGA
除了看波形,还能做点儿啥
#FPGA  #SpinalHDL 
要问做逻辑的什么看的最久,那一定是波形吧。那除了看波形,还能做点儿其他的么?
Spinal FPGA
EasySim: 一个简单的仿真脚本 v1.1
#自动化  #验证  #python 
EasySim v1.1版支持: - 切换仿真器irun、xrun、vcs,在conf.json里指定。 - 支持打开Verdi,并支持只打开RTL。 - 增加了options可以仿真fpga代码,支持多顶层。 - 增加了dryrun,只打印最终的cmd,但不运行,方便调试脚本本身的错误。 - 支持灵活的timescale,功能仿真用粗精度,后仿用高精度,支持从conf文件里覆盖timescale。
ExASIC
验证仿真提速系列--SystemVerilog编码层面提速的若干策略
#验证  #SystemVerilog  #UVM 
随着设计复杂度和规模增加,验证平台复杂度跟着增加。验证平台的仿真速度问题成为验证过程中一个重要问题…
杰瑞IC验证
Zynq-7000系统看门狗定时器SWDT详解
#FPGA  #ZYNQ 
zynq中每个A9处理器都有自己的私有32位定时器以及32位看门狗定时器(AWDT),2个A9共享一个全局64位定时器(GTC)。系统级上,有一个24位的系统级看门狗定时器(SWDT)和两个16位3重定时器/计数器(TTC)。
ZYNQ
数字IC设计前端必读书籍
#FPGA  #数字IC  #前端 
本文将从简单到复杂的顺序依次列出数字IC设计需要阅读的书籍。
ZYNQ
【开源】XBERT:一种在线修改Xilinx FPGA嵌入式RAM比特流的方法
#FPGA  #FPGA  #在线编辑 
在线编辑Xilinx FPGA内的LUT的工作,本公众号之前已经介绍过团队的一些相关工作,主要有以下的三篇文章:【重磅干货】手把手教你动态编辑Xilinx FPGA内LUT内容,介绍一篇可以动态编辑Xilinx FPGA内LUT内容的深度好文!,1024bit以上大位宽可重构包处理器可编程CRC算法的设计与实现。今天给大家推荐今年FCCM2021上的一篇文章,介绍了一种可以在线Xilinx FPGA内部RAM内容的工作,重点是论文相关的工作还是开源的。开源链接:https://github.com/icgrp/bert/.
网络交换FPGA
基于FPGA的自适应阈值分割算法实现
#FPGA  #FPGA  #视频图像  #自适应阈值分割 
如何解决光线不均匀带来的影响?一种典型的处理方法就是采用局部自适应阈值分割。
FPGA自习室
基于FPGA的多速率信号处理技术
#FPGA  #FPGA  #无线通信  #FIR滤波器  #CIC滤波器 
多速率技术已广泛应用于数字音频处理、语音处理、频谱分析、无线通信、雷达等领域。作为一项常用信号处理技术,FPGA攻城狮有必要了解如何应用该技术,解决实际系统中的多速率信号处理问题。
科学文化人
AD9361和Zynq及其参考设计说明
#FPGA  #SDR  #ZYNQ  #AD936X 
一、AD9361概述 1.1 AD9361芯片结构 1.2 AD9361性能特点 1.3 AD-FMCOMMS2-EBZ性能特点 二、Zynq-7000概述 2.1 Zynq的芯片结构 2.2 ZC702简介和结构 三、AD9361和ZC702之间的数据通路 四、AD9361参考设计说明(PL侧硬件部分) 4.1 IP核的概念 4.2 硬件设计 五、AD9361 参考设计说明(PS侧软件部分) 5.1 AD9361 no-OS Software 概述 5.2 AD9361 no-OS Software 顶层目录说明 5.3 main.c文件 5.4 dac_init函数 5.5 adc_capture函数
OpenFPGA
【Vivado那些事】vivado生成.bit文件时报错-ERROR: [Drc 23-20]
#FPGA  #vivado  #错误解决 
ERROR: [Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 3 out of 3 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. Problem ports: clk, din, dout.
OpenFPGA
​现代计算机的雏形-微型计算机MCS-4
#FPGA  #古老CPU启示录  #4bit计算机 
Busicom 141-PF *打印计算器(一套四块芯片组成的微型计算机,称为MCS-4。它包括一个中央处理单元(CPU)芯片-4004,以及一个用于定制应用程序的支持的只读存储器(ROM)芯片,一个用于处理数据的随机存取存储器(RAM)芯片以及一个移位存储-输入/输出(I / O)端口的寄存器芯片。)
OpenFPGA
ARM系列 -- AXI(一)
#FPGA  #ASIC  #ARM 
来看看AXI协议吧
老秦谈芯
如何写出让同事无法维护的Verilog代码?
#前端  #ASIC  #Verilog 
对,你没看错,本文就是教你怎么写出让同事无法维护的代码。
硅农
DC基础学习(二)Synthesis Flow1
#前端  #综合  #DC 
DC基础学习,本系列主要介绍综合相关的知识以及DC工具的使用。
Andy的ICer之路
DC基础学习(三)Synthesis Flow2
#前端  #综合  #DC 
DC基础学习,本系列主要介绍综合相关的知识以及DC工具的使用。
Andy的ICer之路
DC基础学习(四)综合优化的三个阶段
#前端  #综合  #DC 
DC基础学习,本系列主要介绍综合相关的知识以及DC工具的使用。
Andy的ICer之路
DC基础学习(五)Verilog语言结构到门级的映射1
#前端  #综合  #DC 
DC基础学习,本系列主要介绍综合相关的知识以及DC工具的使用。
Andy的ICer之路
DC基础学习(六)Verilog语言结构到门级的映射2
#前端  #综合  #DC 
DC基础学习,本系列主要介绍综合相关的知识以及DC工具的使用。
Andy的ICer之路
关于工作的几个想法
#求职就业  #工作  #职业 
参加工作以来的感觉最重要的几个原则、经验或者教训。
icsoc
国外的数字IC面试题(非常详细,有答案)
#求职就业  #面试题  #数字IC 
国外数字IC面试题。内容相对比较基础,有答案
ZYNQ
求职面试 | FPGA面试题汇总篇
#FPGA  #FPGA面试题  #硬件工程师面试题  #通信算法工程师面试题 
几百道FPGA工程师参考面试题汇总,数字电路基础以及FPGA专业方向应有尽有。
FPGA技术江湖
FPGA必出笔试题
#FPGA  #笔试 
很常见的笔试题,注意每个题目考察的知识范围,能举一反三最好
OpenFPGA
2021年,IC验证还值得转吗?
#验证  #IC验证  #就业  #求职  #芯片  #秋招 
近几年,IC行业成为了就业的热门,国内一线大厂为优秀的应届毕业生开出了40万的年薪,赚足了眼球。对于材料,化学等专业的学生来说,转行IC成为了趋势。就2021年的现状来看,IC验证还值得转吗?
OpenIC
芯片行业哪些人能达到年薪百万?
#求职就业  #验证  #IC验证  #就业  #求职  #芯片  #秋招  #薪资  #前端设计 
芯片行业薪资大揭秘,看看你的上限在哪里!
OpenIC
秋招起底
#求职就业  #求职  #调查  #分析 
收集了300份调查问卷,分析分析校招学生的背景与期望
摸鱼范式
IC验证工程师高效战斗手册--如何制定高效的验证方案
#验证  #提效 
当我们完成了前期的充分学习,对验证对象有所理解、有了初步验证思路、提取出了验证feature,就到了制定完善具体的验证方案了,验证方案如同作战方案,是行动高效的保证,从作战意识到作战策略,都很重要。如何才能制定出高效的验证方案呢?
杰瑞IC验证
芯片ECO(一)
#后端  #ECO 
ECO通常包含timing ECO,function ECO,我们本节看看timing ECO。timing ECO通常先将PD设计加载到tempus进行timing signoff分析,通过eco_opt_design等命令fix remaining timing violations,并产生ECO脚本,在innovus中执行ECO脚本后,QRC提取寄生参数, 再次进行tempus时序分析。
全栈芯片工程师
芯片设计之CDC异步电路(三)
#前端  #ASIC  #CDC 
二进制码的最高位作为格雷码的最高位;二进制码的高位、次高位相异或得到次高位格雷码;格雷码其余位依此类推;
全栈芯片工程师
数字IC设计知识结构
#前端  #数字IC知识结构  #前端  #后端  #验证 
IC 定义,芯片各个节点分工,数字IC设计全流程:前端设计 、物理实现 和功能验证,总结知识结构。
FPGA自习室
用户IP加密
#FPGA  #FPGA  #Vivado  #IP封装加密 
目前了解到的vivado生成IP有两种方式,一种是带源文件的自定义IP,封装IP后可以在 IP Catalog 直接调用即可,这种方式综合实现和仿真和直接源文件并差别。另一种是使用综合网表dcp的IP形式,用户看不到源代码这样可以起到保护重要源代码的作用。
FPGA自习室
FPGA图像处理项目汇总|源码
#FPGA  #FPGA  #数字图像处理  #图像算法 
FPGA自习室推出本人在FPGA图像处理开发过程中的点点积累并汇总列出各个条目,方便大家阅读;本期分享都算是比较基础的图像处理,主要涉及到颜色空间转换、滤波、图像分割以及目标识别定位等。如果刚好有入门FPGA图像处理技术领域的,应该会有一些帮助,后期会不断更新,欢迎大家关注。
FPGA自习室
EDA工具里的功耗分析方法
#后端  #功耗 
优化一定是建立在计算和数据的基础上的,那么对于EDA而言,功耗是怎么算出来的呢?今天,就让小编带领大家一起从EDA的视角,来洞察功耗计算的零零总总。
艾思后端实现
低功耗设计方法
#前端  #Low power 
这是系列文章,介绍了低功耗设计的常用方法及具体实现方式
数字IC小站
读书笔记 | 芯片产品线经理生存指南
#求职就业  #芯片  #产品经理  #研发管理 
《芯片产品线经理生存指南》这本书有助于芯片研发工程师从产品的角度去理解一个芯片从立项到生产的全过程。
icsoc
汇总 | 2022届FPGA、数字IC、通信相关秋招汇总(截止到7月28日)
#求职就业  #FPGA  #数字IC  #秋招  #通信 
截至7月28日的数字IC、FPGA、通信相关企业汇总,含多家企业内推渠道,可以免简历筛选、免笔试等。
FPGA探索者
使用Verdi的小技巧(三)
#前端  #EDA工具  #Verdi 
数字信号在Verdi中的模拟化呈现。
icsoc
【长文】从三十年前说起,最全FPGA架构演进史介绍!
#FPGA  #架构 
自三十多年前问世以来,现场可编程门阵列(FPGAs)已被广泛用于实现来自不同领域的无数应用。由于其底层的硬件可重新配置性,与定制设计的芯片相比,FPGAs具有更快的设计周期和更低的开发成本。FPGA架构的设计涉及许多不同的设计选择,从高级架构参数到晶体管级实现细节,目标是制造高度可编程的器件,同时最小化可重新配置的面积和性能成本。随着应用需求和工艺技术能力的不断发展,FPGA架构也必须适应。在这篇文章中,我们回顾了现代商用FPGA架构的不同关键组件的演变,并阐明了它们的主要设计原则和实现挑战。
网络交换FPGA
高级综合优化选项一:ungroup
#前端  #综合 
高级综合优化选项系列,聊一聊如何优化设计。
ExASIC
你真的了解“随机”这两个字意味着什么吗?
#验证  #随机 
你真的了解“随机”这两个字意味着什么吗?“随机”这两个字,隐藏着哪些工作需要做呢?
杰瑞IC验证
Intel Arria 10 FPGA系列---LAB及ALM
#FPGA LAB  #ALM 
Intel FPGA Arria10系列 基本组成单元总结
数字芯片设计工程师
芯片设计:基于Verilog的TCAM硬件实现
#前端  #芯片设计  #TCAM 
通常TCAM/CAM是有对应的ram mem 库的,但是芯片设计过程中对于比较小的查表,我们完全可以使用寄存器搭建一个TCAM/CAM. 还可以做的更加灵活,这就类似于寄存器搭建RAM一样
FPGA自习室
FWFT预取FIFO Vivado IP核仿真
#FPGA  #FWFT  #FIFO  #Vivado 
在逻辑设计电路中,FIFO是经常使用的电路单元之一,根据数据延时不同,FIFO可以分为预取FIFO和非预取FIFO(标准FIFO),其中预取fifo能够提高后级模块的处理效率,在高速设计中经常被使用。比如,FWFT在需要低延时访问数据的应用以及需要根据读取数据的内容进行节流的应用。
FPGA自习室
Verilog基础(上)
#前端  #Verilog基础 
本文主要讲述日常在RTL Coding 时高频出现的几个关键词,熟练掌握不仅可以使得代码简洁,而且效率也可以大大提升。本文介绍的关键词有:parameter、localparam、`define、`ifdef `else `endif generate、for、function和`include。
FPGA自习室
Xilinx FPGA ISE开发流程及详细说明
#FPGA  #ISE14.7  #详细开发流程 
本篇详细讲解Xilinx FPGA ISE操作软件的发流程及详细说明,包括设计前准备、建立工程、输入设计、综合分析、RTL仿真、锁定管脚、布局布线、生成配置文件并下载、设计开发流程总结等内容。
FPGA技术江湖
高级综合优化二:状态机编码转换
#前端  #综合 
一起来看看综合时怎么优化状态机编码
ExASIC
再也不愁FSM难画了
#FPGA  #VSCode 
在Markdown中如何优雅的画FSM
Spinal FPGA
Markdown时序图绘制与表格绘制
#FPGA  #Markdown 
越来越觉得VSCode对Markdown的支持简直不要再完美。
Spinal FPGA
一键生成寄存器文档也容易
#FPGA  #SpinalHDL 
一键生成整个设计的寄存器文档
Spinal FPGA
离职后,华为的哪些东西是你最留恋的?
#求职就业  #华为  #经验分享 
华为,中华有为,可以说是我们国内最好的科技公司,假如从华为离职后,华为的哪些东西是你最留恋的?
ZYNQ
嵌入式岗位国内有哪些企业不错?
#嵌入式  #工作岗位  #经验分享 
整理一下国内的一些比较好的嵌入式软硬件相关工作的好公司,目的就是为了给在这个坑里的小伙伴们打打气,也指一点明路与避坑指南,不当之处请见谅与补充
ZYNQ
OpenFPGA系列文章总结
#FPGA  #文章总结 
FPGA和外围接口-基础版 基于FPGA的网口通信设计(完结) FPGA和图像处理-入门版
OpenFPGA
5G 参考信号专题:DM-RS解析
#FPGA  #5G  #无线通信 
介绍5G NR中解调操控信号DM-RS,该参考信号用于接收机信道估计,理解DM-RS的产生方法,为FPGA实现5G基带处理提供算法和标准支撑。
科学文化人
浅谈小公司工作的价值
#求职就业 
小公司和大公司的优缺点
白话IC
中芯国际Q2财报亮眼,半导体下半年走向何方?
#前端  #IC验证  #就业  #求职  #芯片  #秋招  #薪资 
近日,各大半导体公司纷纷发布了第二季度的财报,而备受瞩目的国内半导体制造领域“一哥”中芯国际也在8月5日公布了财报:第二季度销售收入超13亿美元,毛利率超30.1%,各项财务指标均好于预期。在财报公布后,中芯国际全年营收成长和毛利率上调为约30%。
OpenIC
在西安从事研发是什么样的体验?
#求职就业  #经验分享  #西安 
我总结了下,我们大概借着下面几个话题展开了对西安的看法
ZYNQ
模拟电路设计的一些经验分享
#模拟  #经验分享 
模拟电路的设计是工程师们最头疼,但也是最致命的设计部分。尽管目前数字电路、大规模集成电路的发展非常迅猛,但是模拟电路的设计仍是不可避免的,有时也是数字电路无法取代的
ZYNQ
系统设计精选 | 基于FPGA的单目内窥镜定位系统设计(附代码)
#FPGA  #FPGA图像处理  #FPGA高速信号采集  #医疗设备FPGA应用 
本篇将基于FPGA平台,以图像处理结合信号采集原理,实现医生在做心脏模拟手术操作导管的过程中,不需要观察心脏内部情况,即可获取导管头在心脏内部信息的功能,采用内窥镜摄像头采集视频和并对导管头进行跟踪定位,信号采集技术可将采集到的导管头在心脏内部触碰区域的信号采集出来送到专业医用仪器,进行心脏3D建模。本设计的实现对医院培养的经验少的医生尽快掌握心脏手术操作流程很有价值,未来将可以培养更多从事心脏手术工作的医学专业毕业的学生或刚刚从事这个行业的社会医生。
FPGA技术江湖
系统设计精选 | 基于FPGA的直接扩频通信系统设计(附代码)
#FPGA  #扩频通信  #直接序列扩频通信  #M序列  #汉明码 
直接序列扩频通信是将带传输的二进制信息数据用高速的伪随机码(PN 码)直接调制,实现频谱扩展后传输,在接收端使用相逆方式进行解扩,从而可以恢复信源的信息。最能体现扩频通信的特点就是它具有优异的抗干扰能力。所以它常常被运用于一些干扰性很强的通信领域中。比如无线通信。本篇适用于有一定通信基础的学习者,本篇使用的理论不仅仅是扩频通信。为了便于学习,本篇只把设计中使用的理论进行说明讲解。包括扩频通信、m 序列的产生、汉明码和补充说明,各位大侠可依据自己的需要进行阅读,参考学习。
FPGA技术江湖
Zynq-7000系列时钟介绍
#FPGA  #ZYNQ 
PS 时钟子系统生成的所有时钟均来自三个可编程PLL 之一:CPU、DDR 和 I/O,这些 PLL 中的每一个都与 CPU、DDR 和外围子系统中的时钟关联。
ZYNQ
SD-FEC硬核在5G-JNR中的使用方法
#FPGA  #5G  #LDPC 
本文主要描述如何应用Xilinx的SD-FEC集成块硬核资源,进行5G-NR 通信系统PDSCH和PUSCH信道编解码开发。主要从SD-FEC集成块硬核特性、配置流程和注意事项等进行说明。
科学文化人
5G系统架构设计与NR思维导图
#FPGA  #5G  #系统架构 
本文总结梳理5G-NR系统架构,L1、L2、L3功能框架,便于5G系统相关人员快速熟悉整体架构设计,了解关键技术标准和实现方法。
科学文化人
怎么在sequence中调用agent中的函数以及如何快速实验你的想法?
#验证  #UVM  #SV 
通过本篇文章主要想送给广大验证初学者和爱好者2件礼物:“一条鱼”和“一只鱼竿”。希望大家连吃带拿,开开心心地。
杰瑞IC验证
芯片设计:预取FIFO的Verilog硬件实现
#前端  #芯片设计  #FWFT  #FIFO 
在原有的FIFO的基础上增加使能控制器和输出寄存器单元也就是FWFT Adapt逻辑 。其中使能控制器完成普通FIFO和FWFT FIFO 的rd和empty的转换。输出寄存器则是直接连接FIFO/RAM输出的数据作为最终FWFT FIFO的读数据rdata。
FPGA自习室
数字IC/FPGA:使用带参数的define 宏定义?
#FPGA  #Verilog  #define  #类函数宏定义 
最近发现在一些RTL设计中用到了类函数宏定义的方法定义一些参数,在以前的了解中,基于Verilog的开发只能定义常量宏,这是使用system verilog的缘故,因其结合了大部分Verilog 和 C的语法,使得system verilog 在使用时更加灵活,而且可综合的system verilog(sv)是可以替代Verilog的,特别是在简化接口方面。
FPGA自习室
Verilog数字系统基础设计-CRC
#FPGA  #CRC  #数字系统 
CRC(Cyclic Redundancy Check,循环冗余校验)是数据帧传输中常用的一种差错控制编码方式,针对要发送的数据帧,使用一些特定的多项式可以计算出CRC校验结果,CRC校验结果和原始数据一起传输到接收端
OpenFPGA
【官方推荐】学习Zynq-7000的入门书单
#FPGA  #ZYNQ  #入门 
根据选用的芯片型号和应用领域的不同,读者可以适当裁减
OpenFPGA
ARM系列 -- CHI(一)
#前端  #ARM  #CHI 
一起来研究CHI协议
老秦谈芯
ARM系列 -- CHI(三)
#前端  #ARM  #CHI 
一起来研究CHI协议
老秦谈芯
ARM系列 -- CHI(四)
#前端  #ARM  #CHI 
一起来研究CHI协议
老秦谈芯
ARM系列 -- CHI(五)
#前端  #ARM  #CHI 
一起来研究CHI协议
老秦谈芯
【Innovus】总结了十条消除DRC和Antenna的方法
#后端  #Innovus  #DRC  #Antenna 
有时候APR工具并不是那么智能,需要人工干预才能达到满意的效果。本文总结了工作中遇到的Route后DRC、Antenna等问题的几个解决方法。
ExASIC
从寄存器结构理解setup和hold time
#FPGA  #STA  #setup  #hold 
从底层结构理解setup和hold
IC小迷弟
spef反标没成功,这种情况你肯定没遇到过
#后端 starrc Primetime 
最近遇到了一个spef没有反标成功的案例。首先确认netlist和spef是基于同一套数据产生的,一致性没有问题。其次确认了这些没有反标上的net,都有实际的绕线。这就奇怪了,很多线没有反标上。
白话IC
代码不规范会有多惨?
#前端 
代码不规范的代价可能是巨大的
IC小迷弟
Xilinx Multiboot实例演示
#FPGA  #xilinx  #multiboot 
实例出发演示Multiboot。
OpenFPGA
数字调制解调技术的MATLAB与FPGA实现:Altera/Verilog版
#FPGA  #matlab  #数字调制解调 
主要包括FPGA实现数字信号处理基础、ASK调制解调、PSK调制解调、FSK调制解调、QAM调制解调,以及扩频通信的设计与实现等内容。
ZYNQ
Vivado HLS 详解以及资料推荐
#FPGA  #Vivado  #HLS 
本文将为大家学习HLS提供一些讲解以及资料汇总。
ZYNQ
Intel/Altera 系列FPGA简介
#FPGA  #intel  #altera 
自从Altera被Intel收购后,似乎放弃了整个中国市场,Altera市场占有率被其他FPGA厂家所侵蚀,国内目前还有一些公司用Altera的FPGA(CPLD居多),所以今天我们再去了解一下Intel FPGA系列产品。
OpenFPGA
【开源】我们和童年的距离,就是一台游戏机-用FPGA DIY一个NES游戏机
#FPGA  #NES  #DIY  #开源 
想自己做个nes游戏机嘛(纯硬解,无延时),软硬件开源!
OpenFPGA
常用的雷达信号:基于DDS的线性调频信号的产生
#FPGA  #雷达信号  #DDS 
DDS(Direct Digital Synthesizer)技术是一种频率合成方法,其输出频率具有分辨率高、功耗低、频率切换速度快且频率切换时输出信号的相位连续等特点。为此在数字信号处理及硬件实现中有着很重要的作用。本篇带来FPGA设计之基于DDS的线性调频信号的产生。
FPGA技术江湖
雷达信号处理:数字下变频
#FPGA  #雷达信号处理  #数字下变频  #simulink  #matlab 
数字上下变频是雷达系统中两个重要的模块。在雷达发送端,由于天线的长度有限会影响到电磁波的波长,而波长与信号的频率成反比,故在发送端需要使用数字上变频提高信号的频率;而在雷达的接收端若不进行下变频处理,根据奈奎斯特采样定理,需要使用信号频率2倍的采样率才能准确无误的将信号还原出来,对AD采样速率以及后续FPGA处理信号的速率要求非常高,不利于系统设计,故在接收机端先将射频信号下变频到中频信号,再将中频信号下变频到零中频信号,方便后续对信号的处理。本次设计同样是通过在simulink搭建模型并通过matlab仿真得到正确设计后生成IP核的形式来实现数字下变频的功能。
FPGA技术江湖
SATA协议简介
#FPGA  #SATA3.0 
SATA是一种基于行业标准的串行硬件驱动器接口,以连续串行的方式传输数据,支持热插拔,主要用于SATA主机与大容量存储设备之间的数据传输。
科学文化人
fsdb转vcd的方法
#验证  #fsdb  #vcd 
虽然VCD波形用得很少了,但还是有极少数情况需要。比如给模拟电路做vector,fsdb版本过新打不开,或者单纯没有verdi,或者是为了与开源工具交互……下面介绍了用verdi自带的工具fsdb2vcd来转换VCD的方法。
ExASIC
高速串行总线设计基础(四)眼图的形成原理
#FPGA  #眼图 
眼图的测量对于高速串行总线的重要性不言而喻,眼图反映了总线通道环境的优劣,信号的好坏等等,正确的识别眼图是一项基础技能,如果具体识别眼图呢?
FPGA LAB
FPGA设计心得(10)关于行为仿真的一点观点
#FPGA  #行为仿真 
为了适应不同的仿真平台(并不是说哪个仿真平台错了) ,且本着仿真意义的实际情况,我们不应该在极端的情况下进行仿真,不仅没有意义,而且让人疑惑。
FPGA LAB
SDC 设计
#前端  #SDC  #ASIC 
ASIC / FPGA SDC 入门、进阶总结
数字电路IC
https://mp.weixin.qq.com/s/kqsBDwjL7jOxmRMK4tsKiw
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
据环球网引述韩国《经济日报》消息,美国商务部长雷蒙多在半导体高峰会上宣称,美国政府需要更多有关芯片供应链的信息,以“提高处理危机的透明度,并确定导致短缺的根本原因”。美国要求相关企业在45天内,缴出公司相关数据,包括库存、销售及客户等商业机密,这样的要求将使公司陷入困境。
OpenIC
台积电的工艺好在哪里?
#后端 
比较台积电与umc的工艺
白话IC
芯片(IC)在5-10年后还会像现在这样火吗?
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
关于芯片行业能火多久,我曾无数次被问到这个问题,今天就来展望一下半导体的前景和钱景。
OpenIC
芯片(IC)在5-10年后还会像现在这样火吗?
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
数字IC设计是一个很大的范畴,很多概念也容易混淆,对于应届生来说,不仅要面对选设计、验证、DFT职位方向问题,同样也要考虑不同芯片的市场前景。
OpenIC
从内存寻址看 FPGA 设计
#FPGA  #设计思想和原则 
本文的目的不是介绍内存寻址的具体设计,而是想通过内存寻址的设计思想看看对FPGA设计有什么帮助和借鉴。
FPGA开发之路
优秀的 Verilog/FPGA开源项目介绍(二)-RISC-V
#FPGA  #开源项目 
十几个risc v开源项目介绍总有一款适合你
OpenFPGA
独白 | 一线城市的工资,三线城市的生活
#求职就业  #职场经验 
从实际体验来说,深圳并没有想象中那么高不可攀,对深圳的描述绝不可以偏概全。接下来,我讲从吃、穿、住、行四个方面讲述我真实的生活,以供应届生们参考。
ZYNQ
Zynq-7000 全可编程Soc系列如何选型?
#FPGA  #ZYNQ 
Zynq7000 全可编程 SoC 重新定义了嵌入式系统,为系统架构师和软件开发人员推出新的解决方案提供 了一个灵活的平台,同时为传统 ASIC 和 SoC 用户提供了一个全可编程的备选方案,如何选型也是一项大学问,我们从以下各个方面来剖析一下。
ZYNQ
从材料到IC,人生应是一片旷野,而非轨道
#求职就业  #求职就业  #经验分享 
介绍了一位材料专业学子转行数字IC的经历和心路历程
数字IC打工人
大话FPGA-“万能的芯片?”
#FPGA FPGA 架构 
从这个角度看,FPGA和芯片(专用集成电路)从来就不是对立的, FPGA,万能芯片,从功能上看是万能的,理论上可以实现所有功能。 但是从,PPA上看,性能,功耗,面积(成本),这三个维度来衡量,又是非常受限的。
歪睿老哥
大话DPU—从网络到数据
#嵌入式 DPU 
第一个卸载的故事中,DPU是offload CPU的负载,是“长工”。 第二个管理的故事中,DPU是CPU的hypervisor,是“管家”。 第三个数据的故事中,DPU高效的提供CPU 数据,是“奶妈”。
歪睿老哥
FPGA设计中,RAM的两种实现方法详解
#FPGA  #RAM两种实现方法  #实用设计技巧  #详细教程 
方法一:利用LPM_RAM;方法二、使用verilog纯文本的描述方式。方法二比方法一相比,来分析设计流程、占用资源等各种情况。
FPGA技术江湖
FPGA零基础学习系列:SDR SDRAM 驱动设计
#FPGA  #SDR SDRAM  #硬件底层驱动设计  #详细教程 
设计一个突发长度为2,列选通潜伏期为2的SDR SDRAM的控制器。该控制器共有四部分功能,初始化、刷新、写和读。四部分的执行控制采用一个模块来控制。SDR SDRAM必须要进行初始化,初始化只用执行一次。然后启动一个计时器,等计时器达到后,进行刷新。在刷新的间隔中,根据读写的要求进行读写。四个模块都会对SDR SDRAM的命令线和地址线进行控制,所以输出时,采用多路选择器对齐进行选择输出。
FPGA技术江湖
【资料库】IC FPGA开发与数字逻辑综合工具实践
#FPGA  #资料库  #UVM  #DFT  #DC  #VCS  #工具实践 
分享关于IC FPGA开发与数字逻辑综合工具的资料,有UVM和DFT的实训课程,DC和VCS的工具实践等,后台自行获取~
电子狂人
【资料库】数字ic前端后端验证
#前端  #资料库  #前端  #后端  #验证 
分享一些从网上搜集的数字ic前端后端验证学习资料,内含UVM学习、版图实训、电路设计、Verilog RTL实践、VCS学习、SRAM、Perl、DFT了解,以及一些经验之类的分享
电子狂人
Matlab系列记录之图像处理(结束篇)
#软件  #matlab  #图像处理 
介绍下一些基本原理和MATLAB上进行图像处理的一些基本操作
电子狂人
如何在FPGA上快速部署5G NR无线通信?
#FPGA  #FPGA  #5G  #MATLAB 
Mathworks公司提出了一套完整的解决方案,在FPGA商上快速部署5G NR。
科学文化人
5G-NR算法秘籍:重要function一览
#FPGA  #FPGA  #5G 
为了方便利用5G Toolbox进行5G系统设计和仿真,现将所有函数分门别类,整理。参考函数库和算法链路,便于进行FPGA和ASIC设计实现。
科学文化人
众多公司纷纷做芯片,谁获益最大?薪水暴涨,不是好事
#求职就业 
各种相关不相关的公司都开始涉足芯片。造成的结果就是原来真正做芯片公司被挖的肉疼
白话IC
“我想去BAT做芯片,哪怕降薪”,且慢!
#求职就业 
做芯片,拿互联网公司的钱,曾经是个梦。然而,现在梦竟然可以实现。
白话IC
手机公司入局芯片,我为什么不看好,也不建议加入?
#求职就业 
各种公司纷纷布局芯片,已经是趋势了。特别是财大气粗的手机公司,可以说是要钱有钱,要人有人,按道理成功不难,然而,入局者众多,但是鲜有成功的。什么原因?
白话IC
IC后端选择tech file容易忽略的一件事
#后端 
以前曾经提到,对于一些标准单元库,调整track是对绕线有好处的,甚至说是非常关键,决定着你的设计是否能够绕通。
白话IC
两种io约束方式对于后端的影响
#后端 
众所周知,block的port接口部分的约束,我们是通过set_input_delay set_output_delay来实现的。在约束的时候,我们通常会遇到两种方式,一种是通过创建virtual clock,另外一种是通过真实的clock来进行约束。
白话IC
FPGA 核和FPGA Fabric的区别是什么?
#FPGA  #FPGA 
FPGA fabric主要是指FPGA互连矩阵和嵌入其中的CLBs。
FPGA LAB/李锐博恩
GT Transceiver的复位与初始化(1)Transceiver复位的两种类型和两种模式
#FPGA  #高速串行接口  #MGT  #Transceiver 
在 fpga 器件启动和配置完毕后,必须对 gtx/gth 收发模块进行初始化,才能使用。
FPGA LAB/李锐博恩
IC设计中值得解决的小问题(一)
#前端  #ic设计  #ic技巧  #vim 
IC设计中值得解决的小问题之vim打开lib文件的语法高亮。
icsoc
Modelsim的仿真之路(基础仿真流程)
#FPGA  #FPGA  #仿真  #modelsim 
缓了一段时间,该接着开始系列记录了,这一次将开始ModelSim的仿真之路,对于学FPGA或者从业于该行业的人来说,仿真是必不可免的一件事,而仿真的工具也不少,不过感觉ModelSim推荐的指数要高很多,或许和它优化的能力有关吧~
电子狂人
优秀的 Verilog/FPGA开源项目介绍(一)-PCIe通信
#FPGA  #pcie  #开源 
开源的pcie项目,已经在很多平台上验证过了
OpenFPG
三个月转数字IC小白的找工作心说
#求职就业  #数字IC 面试经验  #IC面试  #FPGA 
容 本文大约 6000 字,是一名 3 个月转 IC 小白的工作面试心得。不作为正常、普遍的学习 IC 的学生应该有的经历,但也许能为找 IC 行业工作的你提供些许帮助,欢迎阅读参考。
数字IC与硬件设计的两居室
SystemVerilog | UVM | Phase机制基础
#验证  #SystemVerilog  #UVM  #芯片验证 
Phase机制在基于UVM的仿真中尤其重要,它是整个仿真周期中的同步机制。本文将介绍Phase的基础部分,包括Phase的概念、框架和应用实例。
芯片学堂
SystemVerilog | UVM | 深入Phase机制,看懂Phase机制实现原理
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将在前面介绍Phase机制基本内容的基础上,到源代码中去了解Phase机制的实现方式,并且将尽可能以可视化的方式来呈现Phase机制源码的实现逻辑,属于Phase机制进阶篇。
芯片学堂
IC职场说——入职4个月数字IC前端设计师兄感受(篇一)
#求职就业  #数字IC  #就业感受  #建议 
想知道师兄工作后的感受吗?想知道师兄给学弟学妹们什么建议吗?那就赶紧看起来~
IC媛
IC职场说——入职4个月数字IC前端设计师兄谈芯片前景(篇二)
#前端  #数字IC  #就业形式  #芯片发展 
你怎么看待芯片的发展?今年特别火,还能火几年?现在转行学数字IC,明年就业形势还明朗吗?
IC媛
SystemVerilog中的event到底怎么回事儿
#验证  #event  #triggered 
在SystemVerilog构建测试平台时,经常会用到event来实现多个进程之间的同步处理,例如一个进程处于等待某事件发生,当该事件发生了那么对应的进程将会被执行。但是有时候我们会遇到明明已经触发了事件,为什么对应的进程还处于挂起等待状态呢?该文将示例说明。
硅芯思见
generate常用用法
#验证  #generate 
本文示例generate常用用法
硅芯思见
SVA概述
#验证  #sva 
这里,你可以对SVA有一个快速的了解,但是需要你自己coding下文中示例加深印象
硅芯思见
verilog面试宝典第一弹-FPGA原理
#FPGA  #verilog  #ZYNQ 
最近准备数字IC岗时复习整理的知识点,参考了比较火的fpga面试题,和一些相关知识。
ZYNQ
【Innovus】做postmask功能ECO需要分几步
#后端  #postmaskeco  #eco 
一般Postmask功能ECO流程分成以下几步:修改RTL和验证、修改网表(LEC)、后端工具里ECO Route。因为是postmask,所以不能加减stdcell,但可以用spare cells来映射。今天重点来介绍在Innovus里实现ECO Route需要怎么操作。
ExASIC
基于 FPGA YOLO 算法的扫描式 SMT 焊点缺陷检测系统
#FPGA #YOLO算法 #焊点缺陷检测 
本作品属于 SMT 工艺检测中的焊点检测领域,可区分良好焊点以及虚焊漏焊、短路、多锡、偏移等缺陷焊点情况。作品可应用于小型的 SMT 贴片厂对批量 PCB 电路的焊点可靠性进行检测,或者电子维修领域对电路板进行辅助分析观察,同样也可在个人开发者对焊接电路的检测,相比传统方法可以大大降低人力和设备成本。
FPGA技术江湖
基于 FPGA 多帧融合的智能相机处理系统
#FPGA #FPGA硬件加速 #多帧融合 #图像融合降噪 
本设计可用于手持摄像系统(摄像机、智能手机)图像、视频流的 HDR 处 理,可用于低照度情况下固定监控系统的视频流 HDR 处理,可用于线上直播系统的视频流 HDR 处理。
FPGA技术江湖
若可以选,我宁愿重写曾经的“无聊”代码
#FPGA SpinalHDL 
今天,再来好好聊聊SpinalHDL中的FIFO。也许你是逻辑设计老手,但好好看看这篇文章,或许你也会有新的思考。
Spinal FPGA
【UVM】 layering sequence for layered protocol
#验证  #UVM 
IC中常见的如PCIe,USB,UFS等都是分层传输的协议。对于这些高速IP,其验证环境通常也采用分层结构,方便扩展和重用。
IC Verification Club
UVM设计模式 (九) 状态模式、Modelling Finite-State Machines in Testbench
#验证  #UVM  #设计模式 
在我们的验证环境中,有时也需要一个组件专门负责FSM的建模;例如验证USB Device DUT时,验证环境需要模拟USB Host的行为;对于USB协议复杂的状态机,使用专门的FSM组件模拟,可以减少组件间的耦合;也可以将FSM组件的状态赋值到virtual interface上,通过波形协助debug;
IC Verification Club
VC Spyglass CDC(二)常见的CDC处理方法
#验证  #VC Spyglass  #CDC 
EDA厂商提供golden的CDC处理单元,Synopsys的Building Block IP提供如下解决方案;
IC Verification Club
SystemVerilog中超级英雄super
#验证  #systemverilog  #super 
SystemVerilog的OOP编程中,经常需要使用super这个关键字,主要用于子类访问父类中的属性和方法。当然并不是在所有情况下都需要使用super,一般情况下如果子类对于父类中的属性或者方法进行overriden,那么此时如果要引用父类中被overriden的属性或者方法时,就需要明示super。
硅芯思见
SystemVerilog中传说的DPI
#验证  #systemverilog  #dpi 
本文示例DPI-C的基本用法
硅芯思见
基于FPGA的数字识别-实时视频处理的定点卷积神经网络实现
#FPGA  #cnn  #数字识别  #视频 
电脑显示数字(手写也可以,要求是浅色背景上检测深色数字(要求是训练集的问题)),通过摄像头采集缓存到SDRAM后在显示屏上显示摄像头数据,然后右下角显示监测到的数字。
OpenFPGA
FPGA和USB3.0通信-UVC摄像机
#FPGA  #uvc  #usb3.0 
从零开始一步一步搭建一个工业摄像头(入门版本)。
OpenFPGA
【经验分享】【面经】Bob哥经验分享——材料人逃离Fab之路
#求职就业  #求职  #材料专业 
本科末流985材料成型,硕士Top5材料工程,学习方向是数字芯片前端验证,做了新思的router的验证的开源项目,参加了第四届集创赛抱学长大腿拿了华东赛区三等奖(NAND Flash controller toggle模式的设计),在上海AMD做为DV intern实习了一年,一篇IC无关的材料SCI一作,Bob,也是从材料方向转行来的他,不知道有什么特殊的见解呢?
数字IC打工人
芯片片上SRAM存储概略及生成使用实践 (中)
#后端  #SRAM  #DFT  #MBIST $MBISR 
SRAM的除过主要的memory array意外,还可以包含可更多可测性的支持和扩展
艾思后端实现
Zynq系列-AXI概述以及其优势
#FPGA ZYNQ 
旨在介绍 AXI 协议的关键概念
ZYNQ
用Python解决Verilog网表转CDL大小写重名的问题
#自动化  #python  #后端 
在用calibre v2lvs把APR网表转CDL时是不是经常遇到下图的情况?这是CDL不区分大小写的原因。最常见的解决方法就是写脚本替换。我们注意到log里warning非常有规律:Duplicate port/net name "xxx" found in module "xxx",这样我们可以用正则提取net和module名字。
ExASIC
IC验证学习路线(干货满满!)
#验证  #IC验证  #学习路线  #面试面经  #知识分享 
酒酒总结的IC验证学习路线(附资料,面试面经,IC验证面试常问88道总结,IC面试手撕代码总结,IC设计问题总结)
酒酒聊IC编程
研究生导师是路桑,找工作是一种什么样的体验?
#求职就业  #经验分享 
竹秋一的研究生导师是路科验证创始人路桑老师。作为路桑的学生,有一些独特的经历和经验分享给大家。
数字IC打工人
酒酒爆肝80道大厂高频面试题
#验证  #IC验证  #IC设计  #面试面经 
酒酒总结的IC设计问题(比较全面)
酒酒聊IC编程
【经验分享】钢铁哥:我投递了94份简历
#求职就业  #经验分享 
本科毕业于重邮微电子,研究生南邮光学工程专业做半导体分立器件(其实就是材料)。秋招期间,一共给94家公司投递简历,最后拿到了13家公司的offer。
数字IC打工人
【行业杂谈】来自一位芯片行业资深猎头的建议
#求职就业  #经验分享 
最近两年集成电路行业人才极度紧张,薪酬增速飞快。财帛动人心,有时候难免让人感到迷茫。Shine是一名IC行业猎头,从业5年,有一些建议供大家参考。
数字IC打工人
酒酒拿下四五十万的真实大厂面试经历
#验证  #IC验证  #IC设计  #面试面经 
酒酒提前批面试面经总结(大概30家公司面经总结,zeku,展锐,联发科,华为,寒武纪,地平线,商汤,奥比中光,集创北方。。。。。。)
酒酒聊IC编程
数字IC岗位,HR常问的44个问题
#求职就业  #IC设计  #面试面经  #IC验证 
整理了一些HR面试或者综合面试时,面试官常常问的一些问题,建议大家秋招面试的时候提前看一眼,做到心中有数~
IC媛
蜂鸟E203的交叉编译环境搭建
#求职就业  #蜂鸟E203  #开源项目 
手把手教蜂鸟e203交叉编译环境搭建~~~
IC媛
蜂鸟E203--移植Arty -a7
#求职就业  #蜂鸟E203  #项目移植  #开源项目 
手把手教蜂鸟E203移植Arty-a7开发板~~~
IC媛
用Python写一个stdcell library的parser
#自动化  #python  #后端 
用正则写一个简单的stdcell library的parser。对于多行的文本,设置标志位,在区间里进行正则匹配,可以提高准确性和效率。
ExASIC
用Python提取Verilog网表层次和实例化关系
#自动化  #python  #后端 
我们知道Verilog网表文件很大,小的也有几十M、几百M,但Verilog网表没有形为级描述,只剩下stdcell和macro的实例化。本文用python正则实现了网表实例化关系提取。总结:正则不难,难的是善于总结和灵活应用。定义合理的数据存储结构也是非常重要的,后续操作会简便很多。
ExASIC
VCS+Verdi 仿真流程
#前端  #VCS  #Verdi  #IC仿真工具  #IC仿真流程 
本教程不再介绍 VCS 或 Verdi 的工作原理(因为也不会),重点介绍工具的使用。所以,本节先不负责任、不给解释的给出 VCS+Verdi 的仿真流程,使用到的脚本文件可以供学者直接调用,进行快速仿真。
数字IC与硬件设计的两居室
优秀的 Verilog/FPGA开源项目介绍(十二)- 玩FPGA不乏味
#FPGA  #游戏  #小霸王 
之前给大家分享了大约一百多个关于FPGA的开源项目,涉及PCIe、网络、RISC-V、视频编码等等,这次给大家带来的是不枯燥的娱乐项目,主要偏向老的游戏内核使用FPGA进行硬解,涉及的内核数不胜数,主要目标是高的可实现性及复现性。
OpenFPGA
与门变或门,或门变与门
#前端  #后端 
掌握常见逻辑门的等价变换是手工做网表ECO重要技能。比如,与门和或门的互换、与/或跟选择器的互换、DFF的SET和RESET互换、DFF上升沿和下降沿的互换等。下面介绍与门和或门的互换方法。
ExASIC
LDPC码基本原理与FPGA设计思考
#FPGA  #LDDPC  #FPGA  #无线通信  #算法 
介绍LDPC码的基本原理,涉及相关概念,涉及实现需要考虑的因素。
FPGA算法工程师
【海外ICer】诺基亚 | 菜鸟工程师的打怪升级路
#求职就业  #诺基亚  #海外 
作者,我的好友April,去年她分享了在诺基亚实习的故事,一年过去了,让我们来看看她在诺基亚的成长日记
摸鱼范式II芯片验证之路
【IC留学生】美国东北大学生活分享
#求职就业  #高通  #海外 
去年这个时候,贝塔邀请我分享一下我的美国留学生活。因为学业、求职和工作,一直没腾出时间来动笔。终于在这个圣诞季腾出了时间记个流水账,作为在美国的这三年留学工作的生活记录吧。
摸鱼范式II芯片验证之路
关于UVM driver的幕后||你知道get_next_item在哪里吗?
#验证  #UVM  #源码 
我们都知道,driver要和sequencer相连,然后通过seq_item_port接口的get_next_item方法和sequence之间进行交互。 但是,你有没有去查过get_next_item这个方法究竟是哪个类提供的呢?
摸鱼范式II芯片验证之路
【译文】【第一章①】Mindshare PCI Express Technology 3.0
#前端  #PCIE  #译文 
欢迎参与 《Mindshare PCI Express Technology 3.0 一书的中文翻译计划》 https://gitee.com/ljgibbs/chinese-translation-of-pci-express-technology
摸鱼范式II芯片验证之路
安全地启动sequence
#验证  #验证  #建议 
uvm从1.1d到1.2再到IEEE1800.2,有了很多变化。尤其是从1.1d到1.2,在objection的使用上有了一些关键性变化。
摸鱼范式II芯片验证之路
写不好的SDC约束
#后端  #综合  #sdc约束 
sdc约束中的不常见问题记录一下
数字IC小站
基于 FPGA 的压缩算法加速实现
#FPGA  #压缩算法  #静态哈夫曼编码  #LZ77 算法 
该项目中,计划实现对文件的压缩及解压,同时优化压缩中所涉及的信号处理和计算密集型功能,实现对其的加速处理。最终目标是证明在充分并行化的硬件体系结构 FPGA 上实现该算法时,可以大大提高该算法的速度。
FPGA技术江湖
基于 FPGA LMS 算法的自适应滤波器设计
#FPGA  #自适应滤波  #LMS 算法  #HLS 
自适滤波器是一种变系数的数字滤波器,由于其能够根据算法动态调整滤波系数,始终能够保持一个较好的滤波性能,目前已经在自适应噪声对消、移动通信信道均衡、自适应信号增强以及信号预测等领域得到了广泛的应用。
FPGA技术江湖
SystemVerilog | UVM | 精讲RAL寄存器模型基础
#验证  #SystemVerilog  #UVM  #芯片验证 
RAL(Register Abstract Layer,寄存器抽象层),通常也叫寄存器模型,顾名思义就是对寄存器这个部件的建模。本文要介绍的内容,包括对UVM寄存器模型的概述,如何构建寄存器模型,以及如何将寄存器模型集成到验证环境中。
芯片学堂
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将展开介绍寄存器模型访问上的一些话题,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
IC打工人最常用的20个Linux命令
#软件  #软件  #Linux  #自动化 
学会这20个Linux命令,领先大多数IC打工人!
ICer消食片
你真的懂GIT和SVN吗?
#软件  #svn  #git  #自动化 
SVN和Git都是当前主流的版本控制系统,哪个适合你?
ICer消食片
入行数字IC验证的一些建议
#求职就业  #经验分享  #IC验证 
分享成功入行SoC验证工程师的自学个人经验
ICer消食片
通过一个简单的testbench来初识SystemVerilog
#验证  #验证  #systemverilog 
简单介绍一个由systemverilog搭建的testbench
ICer消食片
低功耗验证笔记:一个UPF低功耗例子
#验证  #验证  #低功耗  #UPF 
通过一个例子介绍UPF文件的编写规范与测试平台的修改
数字验证笔记
【innovus】大小写重名的终极解决方法
#后端  #innovus 
其实innovus也有一个命令类似dc的change_names,叫update_names。
ExASIC
RISC-V指令集架构的由来
#前端  #cpu  #risc-v 
本文主要介绍RISC-V指令集架构的发展由来
码农的假期
优秀的 Verilog/FPGA开源项目介绍(十七)- AXI
#FPGA  #AXI  #AMBA 
AMBA总线是ARM研发的(Advanced Microcontroller Bus Architecture)提供的一种特殊的机制,可以将RISC处理器集成在其他IP芯核和外设中,它是有效连接IP核的“数字胶”,并且是ARM复用策略的重要组件。本文主要介绍AXI总线的相关开源项目
OpenFPGA
谈谈Verilog和SystemVerilog简史,FPGA设计是否需要学习SystemVerilog
#FPGA  #verilog  #systemverilog 
SystemVerilog标准(SV-2009)发布距今已近十余年,在验证领域已经大放异彩,但是在设计领域(尤其FPGA领域)使用的还是比较少,虽然市场上已经发布了几本相关书籍,但是在使用上或者学习上还是有点缺陷的,这篇文章是SystemVerilog建模及仿真系列教程的第一篇,先去了解一下Verilog和SystemVerilog发展简史,从中很容易得出FPGA设计是否需要学习SystemVerilog。
OpenFPGA
想用FPGA加速神经网络,这两个开源项目你必须要了解
#FPGA  #FPGA  #CNN  #加速 
目前主流的解决方案就是使用通用或专用处理器来做控制工作,让硬件来执行计算(加速的概念),今天就介绍两个针对以上解决方案的开源项目,这两个项目是用FPGA进行硬件加速的必备项目。
OpenFPGA
SystemVerilog中队列的越界访问
#验证  #SystemVerilog  #queue 
通过示例说明SystemVerilog中的队列越界访问及注意事项
硅芯思见
SystemVerilog中有界无界的队列
#验证  #SystemVerilog  #queue 
示例SystemVerilog中有界和无界队列的使用注意事项
硅芯思见
动态数组的创建不一定非要new[]
#验证  #SystemVerilog  #dynamic_array 
通过示例说明动态数组的一些使用方法
硅芯思见
我的2021秋招经历——IC验证
#验证  #验证  #秋招  #经验分享 
2022届物理专业硕士分享21年秋招转IC验证的经历!
ICer消食片
我2021秋招经历——IC设计
#前端  #IC设计  #秋招  #经验分享 
2022届器件研究方向硕士分享21年秋招转行IC的经历!
ICer消食片
进入IP Core的时钟,都不需要再手动添加约束么?
#FPGA  #Xilinx  #时序约束 
很多FPGA工程师都认为,凡是进入到IP Core的时钟,IP都会自动进行约束,不需要再手动加约束,是这样的么?
傅里叶的猫
兰姐:与各位逆袭大神完全不一样的平平淡淡秋招路
#求职就业 
本科吉大电信专业,硕士以第一的成绩考入中科院北京某研究所集成电路工程专业,有数字IC流片的经历的兰兰的面经
数字IC打工人
从2000万年终奖和芯片行业工资涨幅50%说起
#求职就业 
微信群消息,某刚刚上市的芯片企业,今年年终奖......
白话IC
数字IC设计中的重要考虑因素
#前端  #IC设计  #ASIC 
描述了芯片设计中的时序参数,亚稳态,时钟偏移问题,以及在设计过程中应考虑到面积、速度和功耗的关系。
FPGA算法工程师
FPGA攻城狮玩5G通信算法的基本套路
#FPGA  #5G  #FPGA  #算法  #工业互联网  #MIMO 
架构-算法-实现-调试,5G研发工程师应该怎么做?
FPGA算法工程师
关于工作的几个想法
#求职就业  #职场  #IC设计 
近二十年IC设计工作的几个想法。未必是真知灼见,但一定是肺腑之言。
icsoc
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
SystemVerilog | 脱离代码谈芯片验证关键指标:覆盖率
#验证  #SystemVerilog  #UVM  #芯片验证 
验证覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道验证已经完成?” 实际上,就算验证覆盖率达到了100%,从逻辑上也不能保证当前的验证是完备的。只不过,100%的验证覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂
芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端  #SystemVerilog  #UVM  #芯片验证 
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂
基于FPGA的电子计算器系统设计(附代码)
#FPGA  #电子硬件  #实操  #Verilog HDL  #代码分享 
在国外,电子计算器在集成电路发明后,只用短短几年时间就完成了技术飞跃,经过激烈的市场竞争,现在的计算器技术己经相当成熟。计算器已慢慢地脱离原来的“辅助计算工具”的功能定位,正在向着多功能化、可编程化方向发展,在各个领域都得到了广泛的应用。
FPGA技术江湖
FIR数字滤波器设计
#FPGA  #FIR数字滤波器  #窗函数法  #频率采样法  #基于firls函数和remez函数的最优化方法 
本篇介绍FIR数字滤波器的设计,可以根据所给定的频率特性直接设计FIR数字滤波器。FIR数字滤波器在保证幅度特性满足要求的同时,能够做到严格的线性特性。本篇采用了窗函数法、频率采样法以及基于firls函数和remez函数的最优化方法设计FIR滤波器。对FIR滤波器进行了详细的理论分析,并且对应于每种方法都给出了设计实例。通过编写MATLAB语言程序,运行程序,得到幅频和相频特性图。
FPGA技术江湖
ARM Cortex-A7时钟树综合实战分析
#后端  #时钟树综合  #后端实战 
吾爱IC社区是一个专业技术交流和分享数字IC设计与实现技术与经验的高端技术交流社区,目前社区高端已经拥有近1500位高级会员。
吾爱IC社区
分享几个职业生涯中的问题的思考
#求职就业  #股票  #职业生涯 
做事的时候开心吗?做自己感兴趣的事,才更容易出成果、有创新,更容易实现自我价值,也更容易帮老板实现利润。最后,送大家一句曾国藩的话:“抬头看路,埋头做事,低头做人。”
ExASIC
Emacs的安装、配置和使用
#软件  #emacs 
介绍了Emacs的安装、配置和使用。
ExASIC
UVM验证TinyALU项目:1 - Introduction and DUT
#验证  #UVM  #systemverilog  #IC验证 
《The UVM Primer》 是一本UVM的入门书籍,由Ray Salemi编写出版,并且是免费开源的项目,代码可以在GitHub上下载。
ICer消食片
UVM验证TinyALU项目:2 - A Conventional Testbench for the TinyALU
#验证  #UVM  #systemverilog  #IC验证 
在搭建UVM验证环境之前,我们先从SystemVerilog验证平台开始,随后一步一步地过渡到完整的UVM验证平台。
ICer消食片
如何在“浏览器”里实现一个云端EDA
#软件  #django  #eda  #云端EDA 
本文介绍了一种在浏览器里编辑代码、仿真、看log、看波形的方法。
ExASIC
从Verilog到SpinalHDL
#FPGA SpinalHDL 
该篇文章会不定期更新推送,用以同步公众号内所有和SpinalHDL文章归类汇总,多谢支持。
Spinal FPGA
你知道如何做多端口仲裁么
#FPGA SpinalHDL 
该小系列就SpinalHDL中关于StreamArbiter部分从基础原理到最终的实现细节进行总结。本篇先从roundRobin讲起。
Spinal FPGA
热爱芯片行业是一种怎样的体验?
#求职就业  #芯片  #求职  #前端设计  #职业发展 
时至今日,我开始慢慢的发觉我对芯片行业的热爱有多么深切。回首自己的职业生涯历程,热爱这件事,不是一蹴而就的。
OpenIC
在FPGA上完美复刻Windows 95
#FPGA  #Win95  #486 
在FPGA上运行Windows 95
OpenFPGA
验证仿真提速系列--认识“时间”与平台速度定量分析
#验证  #VCS  #SystemVerilog 
…我们今天围绕“时间”这个主题,首先讨论了验证仿真中的“3个时间”建立了基础认知,接着明确了平台提速到底要提哪个时间?最后以vcs工具举例了怎么收集和分析相关信息…
杰瑞IC验证
用FPGA创建SoC如此容易
#FPGA  #SoC  #RISC-V 
一个专门用于创建SoC的开源项目,几个步骤即可完成设计。
OpenFPGA
I + I2C = I3C:这个附加的“I”是什么?
#FPGA  #I3C  #I2C  #MIPI 
MIPI 联盟2018年发布了 I3C(发音为“eye-three-see”)总线规范的第 1 版,这应该是对长期存在的 I2C 和 SPI 协议的改进。与 I2C/SPI 相比,I3C 提供更高的数据速率、更低的功耗和附加功能,例如动态地址分配、主机加入、带内中断。
OpenFPGA
HDL设计周边工具,减少错误,助你起飞!
#FPGA  #Linting  #工具 
介绍几个FPGA周边设计的小工具,前端后端都有,有利于代码设计。
OpenFPGA
十年来嵌入式软件最有价值的书籍
#嵌入式  #状态机 
大牛Mira Samek就有这么一双新的眼睛。《嵌入式系统的微模块化程序设计-实用状态图C/C++实现》是他的结晶,是一种使用建模反应式系统而不使用重量级的工具。这本书的英文原版在美国被评为十年来嵌入式软件最有价值的书籍。
ZYNQ
FPGA工程师如何提升自己的算法能力?
#FPGA  #FPGA  #算法  #5G  #信号处理 
提升FPGA工程师的核心竞争力,掌握基本功和设计方法学,一方面提升模块、链路代码实现能力,掌握常用接口设计,同时,尽可能提升自己的算法能力,可以让自己的总理综合技能得到快速提升。
FPGA算法工程师
O-RAN与5G白盒基站那些事
#FPGA  #5G  #O-RAN  #架构  #基站 
O-RAN,是一个基于 RAN 元素的互操作性和标准化的概念,包括针对不同供应商的白盒硬件和开源软件元素的统一互连标准。O-RAN 架构将模块化基站软件堆栈集成在现成的硬件上,允许来自分立供应商的基带和无线电单元组件无缝地一起运行。FPGA工程师可参考该联盟发布的协议标准,设计基带处理单元(BBU)、前传、RRU等PHY层逻辑。
FPGA算法工程师
5G NR 基带SoC参考设计
#FPGA  #FPGA  #5G  #SoC  #基带 
给出5G NR P站室内部署场景的基带硬件参考设计,基于FPGA实现DU、CU加速。该设计包括:CU硬件设计、DU参考设计、硬件加速器设计、PCIe接口、Ethernet、CPRI接口、同步与定时设计等。
FPGA算法工程师
IC设计中的多时钟域处理方法总结
#FPGA  #FPGA  #IC设计  #ASIC  #CDC 
我们在ASIC或FPGA系统设计中,常常会遇到需要在多个时钟域下交互传输的问题,时序问题也随着系统越复杂而变得更为严重。本文介绍了常用的多时钟域处理方法,多时钟域下控制信号和数据流的同步处理技术。
FPGA算法工程师
SystemVerilog中clocking block中的输入偏差和输出偏差
#验证  #SystemVerilog  #Interface  #Skew 
示例说明SystemVerilig中interfae中clocking block的输入输出偏差
硅芯思见
浅谈VCS的两种仿真flow
#软件  #EDA  #VCS  #仿真 
介绍VCS的两种仿真flow
ICer消食片
漫谈FIFO-深度
#前端  #FIFO  #同步FIFO  #异步FIFO  #前端 
最近加的群里面有些萌新在进行讨论FIFO的深度的时候,觉得FIFO的深度计算比较难以理解。所以特出漫谈FIFO系列,会涉及到FIFO的深度计算、同步/异步FIFO的设计等。
IC解惑君
X86 CPU指令集的发展史
#前端  #FE  #CPU  #指令集 
一问读懂国内外X86 CPU指令集公司的发展与现状
码农的假期
FPGA时序分析、约束专题课视频回顾
#FPGA  #FPGA时序分析  #FPGA时序约束  #学习视频 
通过对设计的全面时序分析,使您能够对电路性能进行验证,识别时序违规,并推动fitter的逻辑布局,从而满足您的时序设计目标。本视频从基础的数字电路出发,逐步引入时序的概念,了解时序的重要性,理解时序的基本分析方法,掌握时序约束和时序分析的方法。通过对电路的改善,使电路能够满足时序要求。
FPGA技术江湖
FPGA图像处理专题课视频回顾
#FPGA  #FPGA图像处理  #FPGA基础算法实现  #学习视频 
在当前图像处理算法研究已经很成熟的背景下,提高图像处理的时效性有很大的应用背景。随着微电子技术的高速发展,FPGA为数字图像信号处理在算法、系统结构上带来了新的方法和思路。本视频通过讲解FPGA的内部结构,基础的图像处理的算法,结合matlab共同实现FPGA处理图像算法的验证方法等,使学习者具备在FPGA上应用图像处理算法的能力。
FPGA技术江湖
MyHDL,体验一下“用python设计电路”
#软件  #EDA  #myhdl  #前端设计 
用myhdl写了一个模块,里面有两个计数器:cnt1从0计到9,当cnt1=9时,cnt2从0计到4。不仅可以输出verilog,还可以仿真产生vcd和看波形。
ExASIC
如何管理IC研发过程产生的bug
#软件  #jira  #bugzilla  #bug 
bug的跟踪管理是至关重要的工作。
ICer消食片
SystemVerilog中格式化输出域宽(field width)如何设置
#前端  #SystemVerilog  #Field Width 
在使用$display等方法格式化显示数据时,经常需要指定显示数据的对齐方式,一般情况下会在百分号(%)和指定基数之间通过指定域宽(field width)实现要显示数据的对齐格式。本文将示例field width在格式化显示中的使用方法。
硅芯思见
用NanDigits GOF LEC做一致性检查
#验证  #LEC  #EDA 
录了一段视频,来体验一下用NanDigits GOF LEC做LEC。
ExASIC
用spinal生成了一个计数器
#前端  #spinalhdl 
写verilog不就是时序逻辑和组合逻辑嘛,counter正是一个很好的hello world例子。
ExASIC
使用Verdi的小技巧(四)
#前端  #Verdi  #仿真 
EDA工具Verdi使用小技巧
icsoc
SystemVerilog中相互“嵌套”的队列和动态数组
#验证  #SystemVerilog  #Queue  #Dynamic array 
本文示例实现队列和数组之间的相互嵌套存储
硅芯思见
ARM的前世今生
#前端  #行业 
深入剖析ARM发展史
码农的假期
Verilog复杂逻辑设计指南-ALU
#FPGA  #ALU  #运算  #算术逻辑单元 
使用Verilog可以方便地实现复杂的设计。现在,设计复杂性增加,设计需要针对低功率、高速和最小面积进行优化~
OpenFPGA
美女程序媛:基于FIR滤波器的带限白噪声的设计
#FPGA  #FIR滤波器  #带限白噪声  #LFSR IP核 
传统的高斯白噪声的产生是将多个m序列通过D/A转换器,然后通过滤波器得到,比较繁琐。本项目将通过线性反馈移位寄存器和FIR滤波器完成。首先通过matlab中wgn函数生成高斯白噪声,并将生成的噪声数据存入到rom中,然后通过LFSR产生m序列伪随机码作为rom地址对噪声数据进行读取增加其随机性,最后将输出的随机噪声通过FIR滤波器得到带限白噪声。
FPGA技术江湖
压缩算法 | 基于FPGA的Varint编码实现(附代码)
#FPGA  #压缩算法  #Varint编码实现  #代码分享 
什么是Varint编码呢?首先我们来介绍一下Varint编码,Varint编码就是一种用一个或多个字节将数据序列化,并对数据进行压缩的方法,因此也可以称之为Varint压缩算法。在进行数据传输过程,我们经常用大位宽来进行数据的传输。有时候是32位或者64位传输某个数据,然而,一直使用大位宽来传输数据也有它的缺点,比如传输很小的数据时,会造成资源的浪费。
FPGA技术江湖
ICG
#前端  #icg  #asic  #低功耗 
我们真正理解ICG吗?
精进攻城狮
AI赋能5G,利用神经网络进行信道估计
#FPGA  #5G  #AI  #CNN  #信道估计 
从目前的创新态势上看,AI技术将逐步融入无线通信系统设计中。本文通过利用MATLAB自带的5G工具箱和升读学习工具箱,通过一个示例,体验通过神经网络训练,实现对插值后的信道进行估计,实验表明,神经网络可以获得更小的MSE信道估计,获得更佳的估计结果,有利于指导B5G时代和6G的基带处理单元设计。
FPGA算法工程师
UWB,可以应用到生活中的方方面面
#嵌入式  #UWB 
你是否曾经梦想过在你靠近时让你的前门自动解锁?或者希望你的房子能够预测你的需求并在你坐在沙发上时设置灯光和电视?或者,当医生在医院轮班时,你可能希望准确定位关键的健康监测设备,UWB 独特的精细范围功能正在使这些以前不可能的应用成为现实!
ZYNQ
怎么学习FPGA?这几本电子书帮你事半功倍
#FPGA  #verilog 
好的书不在于多少,而在于能够取其精华去其糟粕,编者给大家推荐几本FPGA系列学习电子书籍,包括了CPLD/Verilog编程语言基础与设计,数字IC、基本逻辑、组合逻辑等基础电路,Vivado平台开发与调试、集成开发环境使用及相关技巧,FPGA数字信号处理、数字图像处理及各种FPGA实战项目设计案例等等。
ZYNQ
国产化复旦微电子 FMQL45T900 FPGA开发板( 替代Xilinx ZYNQ ARM+FPGA 7045开发板)
#FPGA  #复旦微 
国产化复旦微电子 FMQL45T900 FPGA开发板( 替代Xilinx ZYNQ ARM+FPGA 7045开发板)
FPGA技术联盟
时钟门控clock gating
#前端  #低功耗设计  #时钟门控 
时钟是同步数字系统中的周期性同步信号,约占整个系统总功耗的40%。 芯片的中的功耗主要分为两部分:静态功耗(Static Power)和动态功耗(Dynamic Power)。所谓动态功耗,主要是由于信号的翻转从而导致器件内部的寄生RC充放电引起的
FPGA自习室
Verilog实现可参数化的带优先级的数据选择器
#前端  #数据多路选择器  #参数化设计 
在FPGA设计中,大部分情况下我们都得使用到数据选择器。并且为了设计参数化,可调,通常情况下我们需要一个参数可调的数据选择器,比如M选1,M是可调的参数。
FPGA自习室
SystemVerilog类中方法定义在类外的那些事儿
#验证  #SystemVerilog  #extern  #method 
在SystemVerilog中所有类的方法都可以定义于类内,也可以定义于类外。一般将比较复杂的方法的实现放在类外,这样可以增加代码的可读性,而比较简单的方法在类内实现。本文通过示例说明外部方法使用注意事项
硅芯思见
时序电路为什么综合成了latch
#后端  #综合  #latch 
有群友提问,下面的代码为什么在DC里可以综合成DFF,而在FPGA上却综合成了latch。这篇文章为您慢慢道来。
ExASIC
如何做出更有竞争力的芯片?
#后端 
芯片设计,某种程度上越来越同质化,如何和竞争对手拉开差距?
白话IC
5G中的DPD技术,怎么玩?
#FPGA  #5G  #DPD  #MATLAB 
数字预失真(DPD)是目前无线通信系统中最基本的构建块之一。其用于提高功率放大器的效率。通过减少功率放大器在其非线性区运行时产生的失真,功率放大器的效率可得到大幅提升。本文通过MATLAB的RF仿真工具和Simulink,展示如何在发射机中使用DPD来抵消功率放大器中的非线性影响。
FPGA算法工程师
SOC基础学习(三)高速缓存Cache1
#前端  #SOC  #IC设计  #Cache 
SOC基础学习(三)高速缓存Cache1
Andy的ICer之路
SOC基础学习(四)高速缓存Cache2
#前端  #SOC  #IC设计  #Cache 
SOC基础学习(四)高速缓存Cache2
Andy的ICer之路
halfPipe是如何导致带宽减半的
#FPGA SpinalHDL 
Stream中的halfPipe方法为什么会导致带宽减半?
Spinal FPGA
推荐几个挺不错的功能
#FPGA SpinalHDL 
推荐几个SpinalHDL新版本引入的几个挺不错的API方法使用。
Spinal FPGA
从算法到RTL实现,FPGA工程师该怎么做?
#FPGA  #FPGA  #算法  #IC设计  #信号处理 
针对近日技术交流群里讨论的算法与RTL实现问题,写篇文章,做一次总结。提出从算法链路仿真验证到RTL实现的必要处理步骤,总结在FPGA算法实现中应遵循的设计流程,提高复杂系统设计的实现能力。
FPGA算法工程师
FPGA数字信号处理:通信类I/Q信号及产生
#FPGA  #数字信号处理  #通信类I/Q信号  #DDIO IP核 
FPGA中利用IP核实现I/Q信号的产生,Quartus中提供了一个IP核为DDIO IP,可供采集高速ADC传入的数据后分成I/Q两路信号。并且通常比数据处理时采用数据截位生成I/Q两路数据方便高效……
FPGA技术江湖
FPGA时钟篇(三) MRCC和SRCC的区别
#FPGA  #xilinx  #时钟 
7系列的MRCC和SRCC的有何不同?
傅里叶的猫
高斯滤波器的原理及其实现过程(附模板代码)
#FPGA 
本文主要介绍了高斯滤波器的原理及其实现过程
ZYNQ
【科普】什么是TPU?
#FPGA  #TPU 
简单解释:专门用于机器学习的高性能芯片,围绕128x128 16 位乘法累加脉动阵列矩阵单元(“MXU”)设计的加速器。如果这句话能为你解释清楚,那就太好了!如果没有,那么请继续阅读......
OpenFPGA
【逻辑电路】for循环的等价展开电路
#FPGA  #for 
从功能的角度来看,上述这几种方式去替代我们的for写法均可,但是有时候,使用for循环最为方便,例如我们的输入特别多,我们使用if,那样会让我们的代码行数非常多,显得臃肿不堪,可效率低下,这时候for循环就可大显身手。
FPGA LAB
优秀的 Verilog/FPGA开源项目介绍(二十)- 张量处理单元(TPU)
#FPGA  #开源项目  #TPU 
张量处理单元( Tensor Processing Unit, TPU ) 是谷歌专门为神经网络机器学习开发的人工智能加速器 专用集成电路(ASIC) ,特别是使用谷歌自己的TensorFlow软件。谷歌于 2015 年开始在内部使用 TPU,并于 2018 年将它们作为其云基础设施的一部分并通过提供较小版本的芯片出售给第三方使用。
OpenFPGA
Quartus 软件使用-效率提升小技巧
#FPGA  #FPGA  #Quartus 
有用的Quartus效率提升小技巧
FPGA自习室
跟着IC媛,从零基础开始征服SV
#验证  #自学sv 
IC媛从零开始学SV的一系列记录
IC媛
【手撕代码】平头哥面试,找出一组数中第一个1的位置或统计1的个数
#求职就业  #手撕代码 
平头哥面试中遇到的一道手撕代码题,提供一点思路,欢迎大家提供更优写法
IC媛
arm系列 -- 电源和时钟管理
#前端  #ARM  #Power 
探究ARM的电源和时钟管理
老秦谈芯
【手把手系列】:芯片设计中的Makefile简明教程
#自动化  #Makefile 
这篇文章介绍了makefile的基础语法和在IC中的应用。
ExASIC
手把手教你修复Calibre DRC Violation
#后端  #Calibre  #DRC 
手把手教你修复Calibre DRC Violation(训练营学员案例)
吾爱IC社区
为什么他们不到两年就想跳槽了?
#求职就业 行业观察 职场经验 
最近连续看到类似的简历,名校毕业,先进工艺,大厂经验,工作两年不到。我就很纳闷,为啥这么多优秀的年轻人,工作不到两年就想跳槽?
白话IC
【世界读书日】读一篇关于OTFS调制的技术论文
#FPGA  #OTFS  #5G  #FPGA  #算法 
OTFS是一种基于时延-多普勒域(Delay-Doppler)的二维调制技术,通过这种利用时间和频率的完全分集的设计,结合均衡的OTFS将由调制信号(如OFDM)经历的衰落的、时变的无线信道转换为一个具有对所有符号基本恒定的复杂信道增益的时间无关信道。OTFS对于未来的高速移动场景,将具有较大优势。
FPGA算法工程师
从APU到ZPU,你认识几个处理器?
#前端  #处理器  #CPU  #GPU  #TPU  #NPU  #DPU 
带你快速了解从A到Z的各种PU,方便下次技术交(chui)流(niu)的时候,能插上几句话!
ICer消食片
从Verilog PLI到SystemVerilog DPI的演变
#软件  #Verilog  #SystemVerilog  #PLI  #DPI  #API 
聊一聊从Verilog PLI发展到SystemVerilog DPI都经历过哪些变化
ICer消食片
SystemVerilog中program提前结束仿真
#验证  #SystemVerilog  #program 
在SystemVerilog中,增加了program...endprogram用于将测试代码集成在其中,从而区分RTL设计代码和测试平台代码在time-slot中执行的区域,其与module...endmodule用法类似,但是还是有些差异,如果使用不当可能会出现一些不期望的问题,本文将主要针对program提前结束仿真的行为与module进行对比说明。
硅芯思见
dc约束中的multi scenarios(多场景)
#前端  #综合 
我们在做MCU芯片的时候,经常遇到PAD复用。有一种情况比较特殊:一个PAD在一个场景下用作时钟输入,另一个场景下用作数据的输入。这样的话,我们需要为这个PAD创建两组约束。
ExASIC
厌倦了sv/uvm?来看看用python写验证环境
#验证  #cocotb 
本文介绍了cocotb的安装、python tb文件的写法、用xrun仿真cocotb的脚本等,我们来看看体验如何。
ExASIC
【职场说】10问采访工作15年的资深ICer(必看)(一)
#求职就业  #职场 
采访一位工作了接近15年的资深ICer!值得一读!
IC媛
芯片行业不再火热,你做好准备了吗?
#求职就业 
最近,讨论芯片行业的文章多了起来。看来,很多人已经觉察到了风向的变化。
白话IC
【职场说】10问采访工作15年的资深ICer(必看)(二)
#求职就业  #职场 
采访一位工作了接近15年的资深ICer!非常推荐!
IC媛
【手撕代码】超硬核,华为手撕代码题汇总(强烈推荐)!
#前端  #手撕代码 
去年秋招华为面试过程遇到的手撕代码汇总!
IC媛
从Spice到Lib,然后从Lib到Lib
#后端  #PnR  #liberty 
liberty是从模拟世界到数字世界的其中一把钥匙,本文简单介绍K库工具及其使用。
RTL2GDS
复位、异步复位、同步复位
#前端  #复位Resetn 
复位逻辑为电路提供了良好的初始化状态, 所以复位是电路中最重要的模块之一。
IC解惑君
ICC/ICC2 or INN 一点不成熟的浅见
#后端  #ICC  #ICC2  #INNOVUS 
对于ICC/ICC2 和INNOVUS一些浅显的理解
艾思后端实现
中端设计在IC开发中的价值和思考
#后端  #Synthesis  #middle-end 
IC设计中 中端设计的重要性 日渐明显
艾思后端实现
优秀的 Verilog/FPGA开源项目介绍(二十二)- 深度神经网络 (DNN)
#FPGA  #DNN  #开源项目 
今天介绍了3个DNN的项目,主要是DNN复杂度较TPU或者CNN高了几个台阶,所以用它来直接对FPGA进行移植难度很大,还是只建议对第一个IBM项目进行研究,其他在ZYNQ上进行数字识别适合实现,其他都不怎么推荐。
OpenFPGA
ISP算法及架构分析介绍
#FPGA  #isp  #架构 
市面上很少有直接介绍ISP的书籍或者资料,今天我们主要是聊一聊ISP算法的架构,这样大家就能明白为什么很少有专用的书籍对这方面进行介绍了。
OpenFPGA
资料汇总|FPGA软件安装包、书籍、源码、技术文档…(2022.05.15更新)
#FPGA  #开发软件安装包  #学习资料  #工程源码分享  #经验分享 
这是一篇汇总篇,汇总的内容就是,“FPGA技术江湖”公众号从2020年年初开始更新至今(2022.05.15),所有自动回复能获取的软件安装包、书籍、技术文档等。很多大侠反应很多链接失效了,由于网盘永久链接容易系统和谐,本次更新了以前很多失效的下载链接。
FPGA技术江湖
UART控制AD9361寄存器Project
#FPGA  #AD9361 
这是一篇简单介绍调试AD9361的Demo,通过串口调试一些寄存器以及初始化脚本,也可以在公众号中获取具体的Demo代码,可以减少AD9361的调试时间。
FPGA打工人
芯片设计里的Multi-Bit FF探究
#后端  #Multi-bit 
使用MBIT 完成芯片设计,助力PPA再上一层高度
艾思后端实现
【从零开始数字IC设计】001 反相器的verilog设计
#前端  #数字IC  #verilog 
本文从数字集成电路设计角度阐述了反相器的原理、数字逻辑表示方法、verilog语言的描述、再到把verilog代码转换回电路的方法和过程。后面会继续用这种思维带领大家学习和设计数字集成电路中的常用电路。
ExASIC
cadence genus版的综合脚本
#前端  #综合 
今天我们再来写个cadence genus版本的综合脚本。为了简单说明,只做了worst corner,可以满足大部分老工艺的综合。
ExASIC
基础:如何用MATLAB生成AWGN噪声?(附源代码)
#FPGA  #信号处理  #AWGN 
AWGN是常用的噪声模型,我们在信号处理中,经常使用。通常我们使用MATLA自带的AWGN函数进行加噪,如果不用其官方函数,我们应该怎么写一个AWGN的函数呢?本文给出一个设计示例。
FPGA算法工程师
OTFS:OFDM技术的持续演进之路
#FPGA  #5G  #OFDM  #OTFS 
OTFS波形是基于移动无线信道可以在延迟-多普勒域中有效建模的思想,在典型的高移动性环境领域进行信息编码,以对抗多径传播信道中的多普勒频移。
FPGA算法工程师
SystemVerilog中unpacked数组的assignment pattern
#验证  #SystemVerilog  #Assignment 
本文示例SystemVerilog中unpacked数组的赋值方式
硅芯思见
FPGA学习altera 系列 固化fpga配置芯片
#FPGA  #芯片配置  #固化  #学习教程 
FPGA可以反复的重新配置,这就意味着设计者可以不断的反复的下载设计的逻辑做验证。如果出现错误或者需要升级,只需要修改设计,重新下载设计逻辑电路即可。FPGA虽然有重新配置的优势,带来的不利就是它每次在系统掉电之后,之前载入的程序将会丢失,系统上电后需要重新配置。设计者为了弥补这项缺陷,在FPGA芯片的旁边都会设置一个flash(掉电不丢失)。配置FPGA芯片的方式有很多,无外乎是在线配置和外部存储器配置。系统上电后,会主动获取外部存储器内的配置数据。在线配置的优先级最高,所以读者不必担心固化外部存储器后不能在线配置其他的。
FPGA技术江湖
GNU sed实例教程
#自动化  #sed 
sed,十个IC设计中的应用
ExASIC
【从零开始数字IC设计】002 认识常用的门级电路(NAND2)
#前端  #数字IC设计 
标准单元库是数字集成电路的积木,是复杂电路和系统的基础。今天我们来认识一下其中的几个常用门级电路。先来看看二输入与非门(NAND2)
ExASIC
入行数字IC验证后会做些什么?
#验证  #IC验证  #flow  #EDA  #FPGA  #Emulator 
本文介绍验证在第一年内所要学习的内容
ICer消食片
SystemVerilog(十三)-枚举数据类型
#FPGA  #枚举数据  #systemverilog 
枚举数据类型提供了一种声明变量的方法,该变量可以包含有效值的特定列表。每个值都与一个标签(确定的用户自定义名宇)相关联。枚举变量用enum关键字声明,后面是用大括号({})括起来的逗号分隔的标签列表。
OpenFPGA
从开源项目探讨“FPGA挖矿”的本质
#FPGA  #开源  #挖矿 
通过上面一些Bitcoin的WK算法,我们能看出WK的本质其实就是并行实现挖取不同币种所需要的算法,比如SHA256或者Scrypt等。
OpenFPGA
IEEE国际通信会议报告:OTFS调制技术(95页PPT)
#FPGA  #OTFS  #OFDM 
为了更好地了解什么是OTFS调制,以及OTFS在无线通信中的信道估计和均衡设计、导频信号设计,以及用于多用户MIMO场景等等关键技术比较关心的问题,向感兴趣的朋友们做一次资料共享。
FPGA算法工程师
AXI4/AXI5-Stream协议介绍
#FPGA  #FPGA  #AXI 
主要介绍AXIS协议,新增介绍AXIS5协议
AriesOpenFPGA
AXI-Stream开源代码测试
#FPGA  #AXI-Stream  #开源 
主要测试之前推荐的开源代码
AriesOpenFPGA
用python实现分模块按cell类型统计cell个数并降序排列
#自动化  #python  #后端 
有同学想看看综合网表里某模块里and、or、inv等cell的个数,谁最多谁最少。虽然用dc的各种命令组合也可以实现,但今天我们用python来实现。
ExASIC
用python实现网表分模块统计面积
#自动化  #python  #后端 
虽然dc也有report_area -hier命令来报告各级模块的面积,本python方案看似有点造轮子,但还是有一定的便利性。一、不受网表类型的限制,综合网表、DFT网表、APR都可以。二、可以过滤面积小于指定值的小模块,比如工具自动插入的ICG模块。三、还可以根据面积占比做排序,方便分析面积的瓶颈。
ExASIC
SystemVerilog中的生命周期lifetime
#验证  #SystemVerilog  #lifetime 
本文通过示例带着读者一并了解SystemVerilog中的生命周期到底是怎么回事,并且给出了对应的使用总结
硅芯思见
时序电路之DFF再理解
#前端  #触发器  #数字前端 
最近在做设计的时候,发现之前对DFF的理解有些模糊,直到有次在实践中遇到了一些问题,含糊其词的也不能解决问题,于是乎就把DFF理解透彻透彻,毕竟这可是时序电路的基本概念。
知芯情报局
你真的了解数字验证吗?
#验证  #数字 
芯片验证就是采用相应的验证语言,验证工具,验证方法,在芯片生产之前验证芯片设计是否符合芯片定义的需求规格,是否已经完全释放了风险,发现并更正了所有的缺陷,站在全流程的角度,它是一种防范于未然的措施。
知芯情报局
IR Drop 对芯片性能及功能的影响
#后端 
纳米级尺寸工艺IR Drop 对芯片性能及功能的影响不容忽视。
小蔡读书
优秀的 Verilog/FPGA开源项目介绍(二十九)- 开源网站
#FPGA  #开源网站 
网站上会经常有一些开源项目,涉及各种各样的项目:SDR、图像处理、古老CPU复现。。。
OpenFPGA
视频拼接技术的发展
#FPGA  #视频拼接  #历史 
视频拼接技术,即对有重叠区域的多路源视频数据利用拼接算法进行拼接,消除重叠区域,形成宽角度、大视场视频图像的技术。
OpenFPGA
硅芯思见:SystemVerilog类中的静态方法和属性
#验证  #SystemVerilog  #Static Method 
在SystemVerilog中关于方法有两种“static”,一种是具有静态生命周期的方法(参见《SystemVerilog中的生命周期lifetime》),另一种则是静态的方法,两种“static”方法在声明时“static”关键字所处的位置是不同的,本文将主要针对静态方法进行说明。在SystemVerilog中,类中方法的生命周期是动态的(automatic lifetime),不能将类中的方法声明为具有静态的生命周期,但是可以将类中的方法声明为静态方法(static method),那么这种静态方法有哪些特点和使用注意事项呢?本文我们将通过示例进行说明。
硅芯思见
CCIX(一)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(二)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(三)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(四)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(五)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(六)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
CCIX(七)
#前端  #CCIX 
学习CCIX协议
老秦谈芯
源码系列:基于FPGA的红外线遥控系统设计(附源工程)
#FPGA  #Verilog HDL  #红外线遥控系统  #系统设计  #源码分享 
红外线遥控是目前使用最广泛的一种通信和遥控手段。由于红外线遥控装置具有体积小、功耗低、功能强、成本低等特点,因而,继彩电、录像机之后,在录音机、音响设备、空调机以及玩具等其它小型电器装置上也纷纷采用红外线遥控。现在工业设备中,也已经广泛在使用。红外遥控系统主要由红外的发送装置和接收装置组成,发送装置可由按键,编码模块,发射电路等组成,接收装置由红外接收电路,遥控,解码模块等组成,此次设计我们用到的硬件平台式是Altera的DE1_SOC,晶振为50MHZ。
FPGA技术江湖
FPGA工程师如何进行复杂系统设计?
#FPGA  #FPGA  #ASIC 
制定详细的设计方案和系统架构,掌握FPGA信号处理与接口设计方法,做好工程管理和维护,掌握仪器设备的使用和问题排故。
FPGA算法工程师
5G NR中的HARQ机制基本原理
#FPGA  #5G  #HARQ 
本文主要简述一下在无线通信系统中常用的HARQ机制。
FPGA算法工程师
SystemVerilog-运算符/表达式规则
#FPGA  #systemverilog 
SystemVerilog-运算符/表达式规则
OpenFPGA
FPGA STA(静态时序分析)
#FPGA  #静态时序分析  #时延要求  #验证 
静态时序分析简称STA,它是一种穷尽的分析方法。它依照同步电路设计的要求。依据电路网表的拓扑结构,计算并检查电路中每个DFF(触发器)的建立和保持时间以及其它基于路径的时延要求是否满足。STA作为FPGA设计的主要验证手段之中的一个,不需要设计者编写测试向量,由软件自己主动完毕分析,验证时间大大缩短,测试覆盖率可达100%。
FPGA技术江湖
【Innovus】记录后端的一些小心得
#后端  #innovus 
分享innovus的使用经验,一些细节不注意可能会出大问题
ExASIC
数字后端工程师找工作时需要考虑哪些?
#求职就业 
本文主要讲述数字后端找工作时该注意哪些问题,纯属自己的想法。
小蔡读书
FPGA布线拥塞主要原因及解决办法
#FPGA  #FPGA  #芯片 
介绍了FPGA设计中,利用Vivado进行布线拥塞原因分析和对应的解决办法。
FPGA算法工程师
RTL与HLS强强联合打造FPGA新开发之路
#FPGA  #hls  #xilinx 
高层次综合(High-level Synthesis)简称HLS,指的是将高层次语言描述的逻辑结构,自动转换成低抽象级语言描述的电路模型的过程。
OpenFPGA
SystemVerilog | UVM | Sequence的仲裁和锁定,还有要避开UVM的bug
#验证  #UVM  #SystemVerilog  #Sequence 
比较多的干货,也偏保姆级,介绍Sequence之间发生竞争的时候,我们需要或者说可以做些什么,包括如何配置sequence的仲裁算法和优先级、如何让sequence占用sequencer(这个地方UVM 1.2有个bug),以及如何中断sequence的执行。
芯片学堂
优秀的 Verilog/FPGA开源项目介绍(三十三)- 基于ARM核的复杂项目
#FPGA  #arm  #xilinx 
得益于Risc-V的兴起,ARM公司在前几年把m3和m0的内核通过Design_Star的活动给开源了,开发者只需要在ARM网站上注册就可以下载源码或者是IP核来直接调用,目前来看比较完善的有Xilinx和Gowin这两家体验挺好。其中Vivado中开发目前比较广泛及方便。 今天介绍几个基于ARM M0/M3的开源项目。
OpenFPGA
为什么说 CPU 是人造物的巅峰?
#前端  #验证  #IC验证  #就业  #求职  #芯片  #秋招  #薪资  #前端设计 
如果把CPU和城市作类比,其中的控制及协调模块可以类比为政府及协调部门、电源模块相当于供电部门、内部的走线相当于街道,而其中一个有一个的小模块可以看做是小区、工业区、大学城等。但和城市不同的是,这些复杂的功能模块都要集成在指甲大小的芯片中.
OpenIC
我们击败了著名设计服务公司。一片悲观中,机会在这里。
#后端 
可能未来口碑比较好,技术水平过硬的工程师,真有可能不再依赖于所在公司的名气,而是靠个人的名气来吸引客户。
白话IC
逻辑综合的流程和命令
#后端  #综合 
综合就是把Verilog、VHDL转换成网表的过程。综合按照是否考虑物理布局信息可分为逻辑综合和物理综合。逻辑综合通常用来做工艺较老的项目,或者较新工艺的面积和时序的评估。因此,前端设计工程师掌握逻辑综合的流程和相关EDA工具是必须的技能,可用来评估和提升设计代码的质量。
ExASIC
【innovus】fab回复说“我们不提供ict/captable”
#后端  #innovus 
本文介绍了tluplus转captable的方法和注意事项。
ExASIC
什么是X态传播?
#验证  #设计  #X态  #VCS  #Xprop 
X态的存在使得仿真结果要么太过于乐观,要么太过于悲观。
ICer消食片
平头哥RISC- V开源处理器C910仿真
#前端 
简单仿真平头哥开源RISC-V处理器C910,使用VCS+Verdi,完成相关仿真
亦安的数字小站
SystemC Verification Randomization
#验证  #SystemC  #随机约束 
SystemC Verification作为SystemC 的验证库,引入约束随机描述,可以实现基于C++/SC的Constraint Rand Verification.
验证芯发现
源码系列:基于FPGA的中值滤波器设计(附源码)
#FPGA  #图像处理  #中值滤波  #滤波算法  #源码分享 
在图像采集、转换和传输的过程中,由于成像系统、传输介质和工作环境等固有的缺陷,不可避免地产生各种类型的噪声,导致获取的图像往往与实际图像有差异。图像质量的下降使得图像后续处理(如边缘检测、图像分割、特征提取、模式识别等)产生困难,因此对噪声图像进行滤波是必要预处理过程,这可以使处理后的图像更适合观察或提取有用信息。但滤波算法在去除噪声的同时难免对图像造成一定程度的模糊,造成细节信息的丢失。中值滤波是对图像的低通滤波,可有效滤除高频噪声,增强图像清晰度。
FPGA技术江湖
对PAM-3编码的一些理解
#模拟  #编码  #高速接口  #串行通信  #USB 
据说USB4的下一代80Gbps版本采用PAM-3编码。
icsoc
聊一聊今年的芯片就业市场
#求职就业  #就业行情 
大环境之下,各大企业对用人要求提高,从去年的抢人阶段,过度到了选人阶段
移知芯博士
就业寒冬,秋招失利,春招还有机会吗
#FPGA  #就业 
做足准备,提前备战春招!
移知
sv_string | 简单、易用、开源的System-Verilog字符串操作函数库
#验证  #芯片验证  #systemverilog  #string 
相比于Python和C++ string丰富的操作方法, systemverilog中string操作方法略显单薄, 仅支持大小写转换和遍历等少量方法。作者借鉴部分python string的操作函数风格, 以及结合常用的一些字符串操作, 开发一个sv_string的开源库, 使用systemverilog编写, 无需DPI和C代码编译, 开箱即用。源码已开源在github, 已经过questasim仿真测试。
验证芯发现
你知道get_coverage和get_inst_coverage得到的都是什么覆盖率吗
#验证  #SystemVerilog  #get_coverage  #get_inst_coverage 
在SystemVerilog中提供了大量的用于获得coverage的方法,方便了用户进行功能覆盖率的收集,比较常见的主要有:$get_coverage、get_coverage和get_inst_coverage,那么这几种get coverage得到的到底是什么coverage彼此之间有什么差异呢?本文将通过示例说明。
硅芯思见
修timing violation的二十一种方法
#后端  #STA  #sign-off 
修timing的方法一网打尽。
志芯
乒乓操作实例讲解-FIFO
#FPGA  #FIFO  #乒乓操作 
无论何时,在复杂的 FPGA 设计过程中,都不可避免地需要在模块之间发送数据,实现这一点的常用的是 FIFO。
OpenFPGA
华为夏晶大神: 总结我的思路,如何在验证中发现和定位Bug
#验证 
发现Bug,发现所有的Bug,或者证明没有Bug,是验证存在的唯一目的。无论任何验证语言、任何验证环境、任何验证方法学、任何Feature List,都是为了达成这一目的而使用的方法,或者所手段。偏离了这一目的任何工作和努力,都是屎、大便、Shit。
芯片设计验证
值得一看 | 细说验证中的Memory Allocation Manager
#验证  #UVM_MEM_MAM  #YAMM  #内存管理  #DMA  #SOC 
介绍芯片验证中的“内存管理”概念,可以用作数据搬运类验证的地址管理和分配,解决随机重复地址的问题,分享两个常用的库:UVM_MEM_MAM和YAMM。
验证芯发现
源码系列:基于FPGA的数模转换(DA)设计
#FPGA  #数模转换器  #DA  #串行数/模转换芯片TLC5620 
数模转换器(Digital to Analog Converter)即DAC,是数字世界和模拟世界之间的桥梁。从航空航天、国防军事到民用通信、多媒体、数字信号处理等都涉及到DAC应用。DAC基本上由4个部分组成,即权电阻网络、运算放大器、基准电源和模拟开关。它是一种将二进制数字量形式的离散信号转换成以参考电压为基准的模拟量的转换器。本设计驱动TLC5620将输入的数字量转换为实际的模拟量(电压)……
FPGA技术江湖
从零学习 InfiniBand-network架构(二) —— 属性与管理器
#前端  #IB协议  #网络 
将介绍InfiniBand-network架构中有关设备属性、管理器、管理代理MA和管理数据报MADS的概念。
IC墨鱼仔
从零学习 InfiniBand-network架构(三) —— IB核心传输引擎Queue Pair
#前端  #IB协议  #网络 
介绍IBA技术中最核心的信息传输引擎,队列的概念(QP,Queue Pair)、请求报文、响应报文、报文序列号(PSN),简单介绍QP服务类型和verb layer API,最后将描述一个详细的信息传输示例。
IC墨鱼仔
从零学习 InfiniBand-network架构(四) —— IB六层网络模型
#前端  #IB协议  #网络 
介绍IBA的六层网络模型,其模型与经典网络模型OSI七层模型类似,并简单介绍了每层的责任与功能,并解释了IBA设备实现层次的原因,IBA六层网络模型中更详细的物理层和QoS内容将在后续两章介绍。
IC墨鱼仔
EDA虚拟机分享(Synopsys2020)
#软件  #EDA  #虚拟机 
分享一个EDA虚拟机,安装2020版本软件,仅供学习使用
亦安的数字小站
Vivado2022.2安装包分享
#FPGA 
新版本最大的特色是安装磁盘占用空间减少很多,如果安装7系列,U系列,U+系列FPGA,相比2022.1磁盘占用空间减少一半。
亦安的数字小站
使用Pulseview软件辅助verilog数字设计仿真协议解码
#FPGA  #辅助软件  #verilog 
经常使用RTL例如verilog进行数字逻辑设计的朋友,仿真一定是一个绕不开的话题。在一些标准的数字接口和协议上,使用仿真软件例如modelsim或者vcs进行仿真后,得到的都是波形文件,使用相应的波形查看器查看数字信号的变化以确认协议逻辑设计的正确性,这点一般需要凭借个人丰富的经验和对协议的充分理解才能保证。可谓是“人脑解码器”,效率低下且很容易出错。
OpenFPGA
基于FPGA的低成本、低延时成像系统
#FPGA  #成像系统 
目前商用领域的成像系统还是以嵌入式ASIC为主(成品时间快,性价比高),对于一些军工、医学等特殊领域还是以FPGA为主,在特殊领域里延迟是最先考虑的问题(成本不是主要问题),所以今天介绍一下使用FPGA实现低延迟的成像系统,这里说明一下,整个系统调试比较麻烦(和sensor有关),很大可能调试不出图像,所以大家只需要知道有这个架构即可,有需求可以自己调试。
OpenFPGA
CXL学习(三)
#前端  #CXL 
一起学CXL协议
老秦谈芯
CXL学习(四)
#前端  #CXL 
一起学CXL协议
老秦谈芯
CXL学习(五)
#前端  #CXL 
一起学CXL协议
老秦谈芯
OCV的来龙去脉
#后端  #OCV 
OCV就是制造工艺各环节引起的偏差。
志芯
Vivado non-project模式示例
#FPGA  #Vivado  #non-project 
vivado有project模式和non-project模式,project模式就是我们常用的方式,在vivado里面新建工程,通过GUI界面去操作;non-project模式就是纯粹通过tcl来指定vivado的流程、参数。
傅里叶的猫
IC派蒙经验分享
#求职就业  #面经  #经验分享 
派蒙背景介绍:本科双一流,硕士成电,非数字科班偏射频
数字IC打工人
使用PlantUml绘制逻辑时序图/波形图
#验证  #时序图  #波形图  #plantuml 
Plantuml不仅可以绘制类图,还可以绘制数字电路中的逻辑时序图/波形图,时序描述格式的易用性好,可读性强。Plantuml开源可用,AgileWave已支持PlantUml在线画图,Git源码参见:https://github.com/cc-hook/agileWave
验证芯发现
23届IC秋招经验分享-黄白橙
#求职就业  #面经  #经验分享 
黄白橙背景简介:本科理工类985,硕士保送本校本专业,数字IC科班
数字IC打工人
留学生LostStar的IC秋招分享
#求职就业  #面经  #经验分享 
背景介绍:科班,本科985,硕士留学 3年ECE美硕
数字IC打工人
硅芯思见:covergroup中的weight如何有效影响覆盖率
#验证  #coverage  #weight 
在衡量统计覆盖率时,经常需要根据对covergroup或者coverpoint的关注程度对其设置对应的权重(weight),从而可以影响到总体的覆盖率。而在SystemVerilog中,weight一般情况下可以通过两种方式进行设置,分别是type_option.weight和option.weight,那么这两种方式在设置时有什么差别呢?本文将通过具体示例说明这两种设置weight的方式如何影响到覆盖率的分布情况。
硅芯思见
了解一下数字IC实现途径
#前端  #数字IC 
IC设计实现方式有很多种,模拟IC的实现方式主要包括:全定制与宏单元/IP。SoC的实现方式主要是依靠CPU/DSP/MCU/ASSP作为主控单元(用于系统处理控制)并搭配其它IP构成片上系统芯片。而数字IC实现主要方式有以下几种,本文将进行简述。
FPGA and ICer
源码系列:基于FPGA的数字电压表(AD)设计
#FPGA  #数字电压表  #AD  #系统设计  #源码分享 
模数转换器,又称A/D转换器,简称ADC,通常是指一个将模拟信号转换为抗干扰性更强的数字信号的电子器件。一般的ADC是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小,故任何一个ADC都需要一个参考模拟量作为转换标准。比较常见的参考标准为最大的可转换信号大小,而输出的数字量则表示输入信号相对于参考信号的大小。本设计则通过对模数转换芯片(TLC549)的采样控制,实现一个简易的数字电压表。
FPGA技术江湖
为什么要做low power check
#后端  #UPF  #low power check 
一是检查low power constrain,二是检查low power可能引入的逻辑错误。
志芯
浅谈MOS管中的寄生电容
#后端  #寄生电容 
进入深亚微米工艺,寄生电容成为影响器件性能的重要因素。
志芯
什么是AOCV、POCV和LVF
#后端  #STA  #OCV 
不同的OCV是基于不同的数学模型对cell delay的统计学迫近。
志芯
锁向环到底是什么?是怎么进行倍频的?
#模拟  #射频  #PLL  #锁相环 
模拟/射频IC设计必须要掌握的技能!
IC芯博士
Windows上快速部署Vitis HLS OpenCV仿真库
#FPGA  #vitis  #opencv 
Windows上快速部署Vitis HLS OpenCV仿真库
OpenFPGA
双MIPI摄像头图像系统设计
#FPGA  #mipi 
双MIPI摄像头图像系统设计
OpenFPGA
捏了一把冷汗!原来Aon buffer要这么处理(附社区福利活动)
#后端  #AON Buffer  #Secondary PG 
Aon buffer的摆放及其secondary pg pin连接的那些signoff检查
吾爱IC社区
FPGA知识汇集-FPGA的低功耗设计方法总结
#FPGA  #FPGA  #低功耗设计 
整个FPGA设计的总功耗由三部分功耗组成:1. 芯片静态功耗;2. 设计静态功耗;3. 设计动态功耗。 1、芯片静态功耗:FPGA在上电后还未配置时,主要由晶体管的泄漏电流所消耗的功耗 2、设计静态功耗:当FPGA配置完成后,当设计还未启动时,需要维持I/O的静态电流,时钟管理和其它部分电路的静态功耗 3、设计动态功耗:FPGA内设计正常启动后,设计的功耗;这部分功耗的多少主要取决于芯片所用电平,以及FPGA内部逻辑和布线资源的占用。 所以,前两部分的功耗取决于FPGA芯片及硬件设计本身,很难有较大的改善。可以优化是第3部分功耗:设计动态功耗,而且这部分功耗占总功耗的90%左右,因此所以降低设计动态功耗是降低整个系统功耗的关键因素
FPGA技术联盟
谈谈学姐我当年是如何入门模拟IC的
#模拟  #模拟IC  #入门经验 
此篇文章以自己的切身经历,讲述作者的模拟芯片工程师的入门经验,包括每个阶段具体学习什么,并附有学习资料链接下载!
日芯说
从零学习 InfiniBand-network架构(五) —— 物理层究竟干些什么
#前端  #IB协议  #网络 
本章将详细的介绍IB网络模型中的物理层的功能和组成
IC摸鱼仔
数字IC校招手撕代码: 计算最小公倍数与最大公约数
#求职就业  #秋招  #手撕代码  #最小公倍数  #最大公约数 
阿里平头哥数字IC秋招手撕代码:设计一个时序电路,输入2个无符号数,位宽可以通过参数DATA_W确定,输出两个数的最小公倍数和最大公约数。
IC摸鱼仔
Formality流程
#前端  #数字IC  #EDA  #Formality 
formality是S家的形式验证的工具,形式验证故名思意是完成一个表面逻辑的验证,通过导入rtl代码和DC综合后的门级网表,验证前后逻辑是否一致,是否DC将部分逻辑消除了。
IC摸鱼仔
从零学习 InfiniBand-network架构(四) —— IB六层网络模型
#前端  #IB协议  #网络  #RDMA 
本章将介绍IBA的六层网络模型,其模型与经典网络模型OSI七层模型类似,并简单介绍了每层的责任与功能,并解释了IBA设备实现层次的原因,IBA六层网络模型中更详细的物理层和QoS内容将在后续两章介绍。
IC摸鱼仔
IB协议链路层QoS如何实现
#前端  #IB协议  #RDMA  #网络 
本章将介绍什么是QoS,为什么需要QoS,以及在IB协议中如何在链路层实现QoS。
IC摸鱼仔
IB协议中数据如何传输
#前端  #IB协议  #RDMA  #网络 
本章将介绍IB协议中五种类型的消息传输请求,并对其中的RDMA read操作进行详细描述,还介绍了可以由QP的RQ逻辑发布和执行的单一类型的消息传输请求。
IC摸鱼仔
IB协议中的原子操作
#前端  #IB协议  #RDMA  #网络 
本章将介绍IB协议中传输请求中的原子操作,并解释原子操作存在的意义以及IB协议中原子操作的具体类型与流程。
IC摸鱼仔
通信技术专题:信道均衡器
#FPGA  #5G  #通信系统  #基带 
在无线通信中(实际上在任何通信中),信号在到达接收器之前总是要经过物理介质(在无线通信中主要是空气和发射器天线之外的任何东西)。在大多数情况下,这些通道往往不是在信号的最佳环境中,并对信号产生一些负面影响。均衡器是一种特殊的组件(块),它可以消除信道在信号通过信道时所造成的失真/损坏。
FPGA算法工程师
Class数据封装 | macro_[private/protected/public]_property
#验证  #参数宏  #OOP 
面向对象中的数据封装,通常不会直接声明为public,而是声明为private或者protected,并伴随定义public的Set和Get方法,以此限制参数的写入和读取权限。Systemverilog/C++的class中,可以使用参数宏,进行数据和配套操作方法的快速声明。
验证芯发现
源码系列:基于 FPGA 的 USB2.0 设计
#FPGA  #接口驱动设计  #通用串行总线  #USB  #固件程序  #上位机软件 
USB(Universal Serial Bus,通用串行总线)是一种应用在计算机领域的新型接口技术。USB接口具有传输速度更快,支持热插拔以及连接多个设备的特点。目前已经在各类外部设备中广泛的被采用。本次设计我们选择一款开发设备,一块廉价的开发板,其中的USB芯片是Cypress的FX2LP系列中的CY7C68013A代。
FPGA技术江湖
晶振知识补充及Windows时间服务介绍
#验证  #晶振  #时间同步  #网络时间协议  #NTP 
使用LTspice绘制晶振阻抗特性曲线;了解晶振的另一个重要参数-频差;最后通过Windows/Linux操作系统时间服务了解电子设备如何获得并保持相对准确的时间。
阿辉说
后端sign off review中的几个问题
#后端  #sign off  #review 
关于后端review中几个不太常见问题的思考。
志芯
关于hierarchical design的upf file的一些思考
#后端  #UPF  #low power 
hierarchical design的upf要重点考虑IO的处理、模块间chain和控制信号的交互、以及power plan的对齐。
志芯
反相器的物理库和前端RTL设计
#后端  #库设计 
以反向器为入口,了解库设计。
志芯
lef文件生成和内容介绍
#后端  #库设计 
以反相器为入口,了解lef文件。
志芯
IB协议中子网本地地址
#前端  #网络  #IB协议  #RDMA 
本章介绍了IBA子网中报文从源端口路由到目的端口时使用的地址,解释了在CA、交换机和路由器上的端口如何编号。解释了Local ID (LID)地址空间、LID地址的用途以及SM为每个端口分配的唯一LID;最后介绍了SM路径数据库的概念。
IC摸鱼仔
FPGA在卷积神经网络中的双倍算力应用——Supertile技术分析
#前端  #AI  #FPGA  #硬件加速 
近年来随着智慧安防、生物医疗、汽车电子等强实时性应用开始快速兴起,各类AI模型层出不穷,神经网络结构日益复杂,所需算力也越来越大,这使得神经网络的硬件加速成为近几年的热点研究,不论是工业界还是学术界,AI的硬件加速方案层出不穷,但主要分为FPGA和ASIC两类。 FPGA不像ASIC,可以通过堆叠大量的计算单元来提升板卡算力,所以在FPGA上进行算力提升更受局限,本文将介绍一种基于Xilinx FPGA平台中DSP单元的supertile技术,该技术可以将DSP的计算效率提升两倍。 FPGA不像ASIC,可以通过堆叠大量的计算单元来提升板卡算力,所以在FPGA上进行算力提升更受局限,本文将介绍一种基于Xilinx FPGA平台中DSP单元的supertile技术,该技术可以将DSP的计算效率提升两倍。
IC摸鱼仔
IB协议中全局ID
#前端  #网络  #IB协议  #RDMA 
专题内的上一文章谈到的是子网内ID的意义和作用,而本文要介绍的全局ID将用在两个不同子网下的Packet传输。本章介绍IBA中全局ID的作用,IPv6地址及单播、多播规则;并描述如何为子网中的每个端口分配相同的子网ID以及一个或多个GUID。
IC摸鱼仔
FPGA知识汇集-FPGA系统时序理论
#FPGA  #FPGA  #时序理论 
对于PCB设计工程师来说,保证足够稳定的系统时序最有效的途径就是尽量减小PCB skew和信号传输的飞行时间,而其它的参数都只和芯片本身的性能有关。实际中经常采取的措施就是严格控制时钟和数据的走线长度,调整合理的拓补结构,并尽可能减少信号完整性带来的影响。然而,即便我们已经考虑的很周全,普通时钟系统的本身的设计瓶颈始终是无法打破的,也就是建立时间的约束,我们在尽可能减少由PCB布线引起的信号延迟之外,器件本身的特性如Tco、Jitter、TSetup等等将成为最主要的制约因素,尽管我们可以通过提高工艺水平和电路设计技术来不断提高数字器件的性能,但得到的效果也仅仅是在一定范围之内提升了系统的主频,在频率超过300MHz的情况下,我们将不得不放弃使用这种普通时钟系统设计。
FPGA技术联盟
C case和UVM TB的交互,tube_print, event_sync
#验证  #tube  #soc  #UVM 
C代码调用printf时,打印信息显示在哪个IO上,由pringf调用的底层代码决定;软件C中的printf默认在terminal上打印;对于嵌入式C, 运行在开发板上的code,可以借助target自己的显示IO,如LCD屏,将打印信息直接显示在LCD屏上;如果target没有显示IO,也可以retarget到host端的terminal上;simulation仿真时,运行的C代码需要借助主机host的IO,将打印信息显示在simulation terminal上;常见的方式有以下几种:
IC Verification Club
Verilog中关于文件操作的系统任务
#验证  #verilog  #文件操作  #系统任务 
Verilog提供了很多对文件操作的系统任务和函数,例如打开关闭文件、向文件写入值、从文件读出值等等。
ICer消食片
PCIE(RIFFA)驱动解读(一)
#FPGA  #PCIe 
主要介绍RIFFA的驱动(Linux),共计7篇系列文章,本篇为第一篇
亦安的数字小站
Lite PlantUML Parser | UML类图结构解析
#验证  #PlantUML 
Lite PlantUML Parser,基于ANTLR实现,编写PlantUML类图的语法解析,将已有的plantuml设计信息提取出来,以便后续开发使用。
验证芯发现
FPGA与数字IC求职知识准备 - 数字电路知识总结
#求职就业  #求职 
本文整理了数字电路课程中的相关基本的知识点和较为重要的知识点,用于求职的数电部分的知识准备,差缺补漏。
FPGA and ICer
Synopsys2022虚拟机分享
#软件  #EDA 
分享一个仅供学习的虚拟机
亦安的数字小站
UVM harness:可复用的interface连接方法
#验证 
分享一种使用bind和uvm_config进行interface连接复用的方法:UVM harness.
验证芯发现
卷积神经网络硬件加速——INT8数据精度加速
#FPGA  #神经网络  #硬件加速 
本文将介绍一种特殊的硬件加速方案,一种INT8数据精度下的双倍算力提升方案。 目前大部分卷积神经网络模型的数据类型都是32-bits单精度浮点型,或者16-bits,但对于实际应用而言,99%和99.5%的网络准确率是没有区别的。所以,对于某些场景下,网络的数据精度使用INT8的数据类型就能较好的胜任工作。
IC墨鱼仔
源码系列:基于FPGA实时时钟的设计(附源工程)
#FPGA  #实时时钟  #源码分享  #DS1302芯片  #架构设计 
本次设计采用了美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路 DS1302,它可以对年、月、日、周、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。
FPGA技术江湖
从零开始 verilog 以太网交换机(一)架构分析
#前端  #FPGA  #交换机  #面试项目  #网络 
当下很多IC设计的求职者可能苦于找不到合适的项目,或者是希望有一个区别于培训班的独特项目,所以博主从今天开始会根据一些资料,完成一个以太网交换机的手把手教学,并将所有工程代码开源。 关注本专题的朋友们可以收获一个经典交换机设计的全流程;以太网MAC的基础知识。新手朋友们还将获得一个具有竞争力的项目经历。
IC摸鱼仔
从零开始 verilog 以太网交换机(二)MAC接收控制器的设计与实现
#前端  #FPGA  #交换机  #面试项目  #网络 
本章进行MAC控制器的设计与实现,共分为两个部分:接收控制器和发送控制器。整体架构可以参考:从零开始 verilog 以太网交换机(一)架构分析,本文将首先分析MAC接收控制器的设计
IC摸鱼仔
从零开始 verilog 以太网交换机(三)MAC发送控制器的设计与实现
#前端  #FPGA  #交换机  #面试项目  #网络 
本章将继续进行MAC发送端控制器的设计与实现,交换机完整的架构可以参考:从零开始 verilog 以太网交换机(一)架构分析。
IC摸鱼仔
RFSoC之XilinxWP509阅读笔记 - 了解射频采样数据转换器的关键参数
#FPGA  #FPGA  #RFSoC 
在直接采样 RF 设计中,数据转换器的特征通常是 NSD、IM3 和 ACLR 参数,而不是 SNR 和 ENOB 等传统指标。在软件定义无线电和类似的窄带用例中,量化落入感兴趣频段的数据转换器噪声量更为重要;传统数据转换指标不适合这样做。本白皮书首先介绍了传统 ADC 参数(SFDR、SNR、SNDR (SINAD) 和 ENOB)背后的数学关系,并说明了为什么这些指标可以很好地表征宽带应用(例如超外差接收器)中的数据转换器。然后描述了为什么这些指标不适用于不能在其全部奈奎斯特带宽上运行的数据转换器,如在 SDR 等直接射频采样应用中。详细介绍了 NSD、IM3 和 ACLR 的推导和测量。
FPGA and ICer
RISC-V生态创新创业大赛决赛路演及中国开放指令生态(RISC-V)联盟年度大会成功举办
#资讯  #riscv 
2023年2月25日,2022 RISC-V生态创新创业大赛决赛路演以线上线下相结合的方式举行。本届大赛是在中国科学院计算技术研究所、北京市经济和信息化局、北京市科委中关村管委会、北京市海淀区人民政府的指导下,由北京开源芯片研究院、中国开放指令生态(RISC-V)联盟、中关村创业大街科技服务有限公司共同主办,于2022年9月正式启动。大赛经过参赛报名、资格审查、初赛评审,评选出的9支优胜团队现场进行了决赛路演。中关村科学城管委会有关领导,以及开源芯片领域的行业专家学者、企业代表等100余人出席了线下大赛,政产学研用各界代表在线观看了决赛,累计吸引观众3000余人。
中国开放指令生态RISCV联盟
技术型创业公司如何把握发展与管理的节奏感?
#管理  #初创 
最近几年,技术领域特别是基础设施相关的技术领域创业很热,不少技术类创业公司如雨后春笋般冒出来。但是很多技术创业公司在成长的过程中,都碰到了各种技术团队管理方面的问题,且每个阶段的主要矛盾各有不同。因此,在公司发展的不同阶段,需要搭建不一样的技术团队,采用不同的技术管理方式,解决不同阶段的问题。也就是说,公司发展需要节奏感,不同阶段要有不同的侧重点。一旦节奏感乱了,就会特别拧巴,导致公司的管理顾此失彼,流失大量技术人才。针对上述技术型创业公司不同发展阶段,以及技术团队管理方式,我来分享一些我个人的观点。
达坦科技DatenLord
上海汽车电子芯片产业联盟正式揭牌成立
#资讯  #联盟 
2023年2月23日,“上海汽车电子芯片产业联盟”在2022中国国际汽车电子高峰论坛上正式揭牌成立,市经信委一级巡视员傅新华参加揭牌仪式。该联盟是在上海市经济和信息化委员会的指导下,由上海市集成电路行业协会和上海市交通电子行业协会联合上汽集团、联合电子、华大半导体等40多家产业链企业共同发起,旨在推动构建完善的生态体系,建立开放共享、合作共赢的产业生态,提升上海汽车电子芯片产业的核心竞争力。上海汽车芯片产业联盟的成立,也将进一步推动上海、长三角乃至全球汽车电子上下游产业链密切合作,营造出车芯联动的生态发展环境。
上海市集成电路行业协会
禁令对龙芯影响:切断EDA、制造,挡住追赶intel的道路
#资讯  #禁令 
美国又制裁中国企业了,国产CPU中最出名的龙芯,这次也被美国列入了“实体清单”,意味着接下来,没有获得美国许可的话,龙芯也不能使用美国的技术、设备等等,这个级别与针对华为的级别是一致的。那么问题来了,龙芯被制裁后,影响有多大?美国为何要制裁龙芯?很多网友说是利好,真的是利好?先说说影响有多大?我们知道龙芯是一家Fabless企业,即只设计不制造芯片的企业。而Fabless在设计芯片时,一是会用到指令集,二是用到各种IP核,三是要用EDA软件。
只谈数码科技
玄铁RISC-V生态大会成功举行,平头哥首次展示RISC-V生态全景
#资讯  #riscv 
3月2日,由阿里巴巴平头哥举办的首届玄铁RISC-V生态大会在上海举行。大会以“开放、连接”为主题,英特尔、谷歌、Canonical、Imagination、海尔、支付宝、网易有道、创维酷开等全球数百家企业及机构代表齐聚一堂,成为中国RISC-V发展史上规模最大的一次会议。经过约5年时间建设,中国RISC-V生态已初具规模。中国工程院院士倪光南在会上表示,今天RISC-V是中国CPU领域最受欢迎的架构,成为推动新一代信息技术发展的新引擎。
平头哥半导体
2023年物联网产业六大趋势展望
#资讯  #物联网 
天翼物联物联网研究中心王志成博士,从个人角度提供以下6个方面的分析判断。1、产业规模,连接规模和收入规模全面增长。2、发展模式,物云融合的协同化发展方式。3、5G物联,多重因素促进首波规模增长。4、C端市场,人物融合终端实现快速崛起。5、物联转售,从局部试点走向规模化商用。6、芯片模组,境外制裁推动国内市场内卷。
天翼物联
Vivado逻辑分析仪使用教程
#FPGA  #vivado  #逻辑分析仪 
本次带来Vivado系列,Vivado逻辑分析仪使用教程。传统的逻辑分析仪在使用时,我们需要将所要观察的信号连接到FPGA的IO管脚上,然后观察信号。当信号比较多时,我们操作起来会比较繁琐。在线逻辑分析仪就比较好的解决了这个问题,我们可以将这些功能加到FPGA设计当中。在线逻辑分析仪也同样是在FPGA设计中,通过一个或多个探针来采集希望观察的信号。然后通过JTAG接口,将捕获到的数据通过下载器回传给我们的用户界面,以便我们进行观察。
FPGA技术江湖
伪红外图像处理
#FPGA  #图像处理 
所选FPGA是ZYNQ-020 SoC,摄像头是便宜的Raspberry PI摄像头,带有两个红外LED,最大分辨率为 1080p@60Hz。该项目展示了一些红外图像处理算法,如坏点校正、中值滤波器、低通滤波器(平滑滤波器)、图像锐化、边缘检测等,这些算法可以提高图像质量。
OpenFPGA
IC技术圈推荐书单
#求职就业  #书单 
IC技术圈的小编们推荐了21本书,并且写下了推荐语。这是一份动态、实时更新的书单(http://iccircle.com/book)。
IC技术圈
关于工作的几个想法(五)
#求职就业  #薪资 
2023年已经过去一个月了,又到了春暖花开、万物萌动的季节。今天这篇简单聊一下作者对两个求职环节的看法。您现在薪资多少?请提供两个证明人做背调。本文讨论了这两个敏感问题怎么回答比较好。您有什么看法,欢迎留言讨论。
icsoc
Cacheable VS Non-Cacheable
#前端  #cache 
经常会碰到说某块内存是cache的,还是non-cache的,它们究竟是什么意思?分别用在什么场景?non-cache和cache的内存区域怎么配置?这篇博文将会围绕这几个问题展开讨论。Cache,就是一种缓存机制,它位于CPU和DDR之间,为CPU和DDR之间的读写提供一段内存缓冲区。cache一般是SRAM,它采用了和制作CPU相同的半导体工艺,它的价格比DDR要高,但读写速度要比DDR快不少。
阿辉说
我写过最简单的UVM验证环境
#验证  #uvm 
为了向身边初学的小伙伴展示UVM最简单的工作机制,曾经写过的一个简单的令人发指的小demo,记录一下,送给初学的朋友。
芯片验证日记
深入研究计算绕线寄生RC参数和绕线delay的数学模型
#后端  #RC抽取 
你有没有感觉做设计的时候,似乎用到的各种模型和算法都很模糊?特别是cell delay和绕线delay。本文力图消除这种模糊的感觉。NLDM, none lineal delay model即非线性模型,这个模型属于电压源模型。输出v不变,load上cap变化不会对电压影响。明显不适用与现在的大规模先进工艺design。已经淘汰了,米勒效应,温度的变化,高阻互联这些效应也解释不了。CCS,con_current source即复合电流源模型,这个模型属于电流源模型,输出I不变,load上cap变化会对I影响,V也变化。CCS模型计算出的cell delay可能比NLDM模型准确。对于计算Net delay,CCS模型中的驱动模型(随时间变化的电流源模型)肯定要比NLDM模型中的驱动模型(线性变化的电压源模型)更准确。
志芯
VCS/XRUN如何创建一个非UVM的简单仿真环境?
#验证  #vcs  #xrun 
设计码完代码后,有时候想简单调试一下基本的通路,此时还没有验证资源进来,可以仿照modesim仿真的方法,创建一个.v/.sv的顶层,里面例化DUT,里面加预期激励。下面是VCS/XRUN两种仿真工具最简单的demo,spi_slv.v是待测dut, tb_top.sv为顶层top,例化dut, 里面添加对应的激励。
芯片设计验证
PCIE(RIFFA)驱动解读(七)
#前端  #pcie 
本系列共7篇,本篇是最后一篇。RIFFA的Linux驱动文件夹下有6个C源码文件,riffa_driver.c、riffa_driver.h、circ_queue.c、circ_queue.h、riffa.c、riffa.h。其中riffa.c和riffa.h不属于驱动源码,它们是系统函数调用驱动封装的一层接口,属于用户应用程序的一部分。circ_queue.c和circ_queue.h是为在内核中使用而编写的消息队列,用于同步中断和进程;riffa_driver.c和riffa_driver.h是驱动程序的主体。
亦安的数字小站
Formal Verification (五) coverage、sign-off flow
#验证  #formal 
formal和simulation一样,也是基于coverage-driven的验证方式;针对formal的coverage metrics,可以分为以下几种(不同工具定义略有不同,本文以Jaspergold为例):code coverage、functional coverage。以覆盖率作为sign-off的标准,各家工具略有不同,但大体一致,分为6个步骤……
IC Verification Club
【小技巧】如何解决SV进程之间的冲突?
#验证  #SystemVerilog 
如何解决SV进程之间的冲突?示例代码中,如何保证在仿真时间不前进的情况下,保证c=b这句话后执行?只需要在c=b之前加一句uvm_wait_for_nba_region();
摸鱼范式II芯片验证之路
综合网表带SDF前仿真
#验证  #后仿 
网表布局布线前,未进行时钟树综合,保持时间尚未修复,此时我们也可以由DC/PT写出SDF文件进行综合网表仿真,但是此时的SDF文件中的保持时间为假的,可能导致出发器违例造成X态传播,造成仿真失败。本文介绍了两种方法来解决这个问题。
全栈芯片工程师
天线基础知识
#FPGA  #天线  #通信 
本篇分享一下天线的基础知识,内容来源于网络(ZTE中兴)。
FPGA算法工程师
不该被遗忘的interface class和多重继承
#验证  #SystemVerilog  #interface class  #多重继承 
在面向对象的世界里,继承是一个为人所熟知的概念,也是OOP的方法论之一。继承则又可以分为单继承和多重继承。单继承是比较常用的思路,比如经典的继承例子:麻雀类继承于鸟类,鸟类继承于动物类,子类不仅具有父类的属性和方法,同时还有自己的特质。而多继承则是表明子类可以同时具有多个父类,兼具多种“角色”的属性和方法。在SV 2012标准里引入了接口类(interface class)和实现(implements),可以实现多重继承的设计。
验证芯发现
ICC2基础知识1--工具支持的几种floorplan类型
#后端  #icc2  #floorplan 
ICC2工具支持不同的floorplan形式,以满足您的设计要求。主要包含以下几种:Channeled Floorplans、Abutted Floorplans、Narrow-Channel Floorplans。以上三种floorplan形式参与的项目都有在用,实际中应该根据自己的设计选取友好的floorplan方式。
小蔡读书
FPGAer浅入浅出DDR——容量规格篇(一)
#FPGA  #DDR 
作为一个FPGA开发者,之前对于DDR的使用,一直仅限于对厂商接口的使用,像无论是Xilinx还是Intel,在使用DDR时甚至IP配置参数都不需要了解,直接从老的工程里把IP拷贝过来就可以,而在使用DDR时只需要会使用AXI4/AvalonMm标准总线接口就行了。混了这么多年,本着够用即可,再往下稍微了解些。个人总结,浅入浅出。让我设计一个控制器那可是难为我了。
Spinal FPGA
硅芯思见:【165】SystemVerilog中的关联数组那些事儿
#验证  #SystemVerilog  #关联数组 
在SystemVerilog中,我们知道可以使用动态数组实现数组元素个数的动态分配,即随用随分,其中元素在数组中的索引是连续的,但是如果要实现数组元素访问时不采用连续索引的话,采用动态数组和定宽数组就不是很合适,容易造成空间的浪费,为此在SystemVerilog中引入了关联数组(Associative Array),实现了一种查找表,该查找表的索引可以根据用户需要指定,不限于整形,其内存空间直到使用时才会分配,即只针对写入的元素分配存储空间,其使用方式类似于Perl等其他语言中的哈希结构。关联数组与其他数组表面上的不同主要体现在数组的索引上,非关联数组的索引一般都是整型变量,而关联数组的索引可以是任何的数据类型。下面我们将通过示例说明关联数组是如何定义和常用的方法如何使用。
硅芯思见
Hold Time违例,该如何解决
#FPGA  #vivado  #sta 
首先,从理论上讲,Hold Time违例,是因为时钟绕的太远,到达时间太晚。而且综合之后给出的时序报告都是估计值,因此综合之后可以不考虑Hold Time,只考虑Setup Time;即便此时Hold Time违例,我们也不需要去理会。在Place Design之后再去看Hold Time,如果此时Hold Time的违例比较小(比如-0.05ns),还是不需要理会的,因为工具在布线时会修复Hold,但如果Slack太大了,无法修复了,就会牺牲setup来弥补hold。如果出现了hold的违例,我们首先要分析时序报告,看是不是clock的skew太大了,hold违例一般都是时钟的skew太大导致,如果skew太大,就要检查原因了,是不是时钟路径上有buffer导致的,或者是因为时钟跨SLR这种路径太长导致。如果时钟路径上并没有什么多余的buffer或者逻辑,那使用全局时钟网络带来的skew是最小的。
傅里叶的猫
【Verdi系列-1】Verdi环境配置、生成波形的“三方法”和“八奇技”
#验证  #verdi 
Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查,Verdi使用情形主要是IC验证工程师(Debug),IC设计工程师(Review)。总之,Verdi很重要,作为一名ICer要是不会用Verdi,确实说不过去。
芯片扫地僧ICer
Python将bin转换为hex文件
#自动化  #python 
将一个二进制bin文件转换为64位宽的十六进制hex文件:这里需要用到binascii,binascii 是 Python 标准库中的一个模块,提供了二进制数据与 ASCII 字符串之间的转换方法。
数字ICer
在网表中,触发器是什么样的?
#后端  #dff  #netlist  #eco 
在芯片设计阶段末期,因为schedule的需要,往往出现的情况是RTL code已经freeze了,但是相关的验证还没完成,这时候如果再发现code有问题,需要修改的话,就要直接修改网表(netlist),而不是直接修改RTL code那么简单了。本文介绍了几种always RTL和综合netlist的对比。
数字设计课堂
RFSoC之XilinxWP509阅读笔记 - 了解射频采样数据转换器的关键参数
#FPGA  #ADC 
在直接采样 RF 设计中,数据转换器的特征通常是 NSD、IM3 和 ACLR 参数,而不是 SNR 和 ENOB 等传统指标。在软件定义无线电和类似的窄带用例中,量化落入感兴趣频段的数据转换器噪声量更为重要;传统数据转换指标不适合这样做。本白皮书首先介绍了传统 ADC 参数(SFDR、SNR、SNDR (SINAD) 和 ENOB)背后的数学关系,并说明了为什么这些指标可以很好地表征宽带应用(例如超外差接收器)中的数据转换器。然后描述了为什么这些指标不适用于不能在其全部奈奎斯特带宽上运行的数据转换器,如在 SDR 等直接射频采样应用中。详细介绍了 NSD、IM3 和 ACLR 的推导和测量。
FPGA and ICer
一篇有趣的文章,关于18bit SAR ADC
#模拟  #ADC 
最近有很多知乎和eetop的小伙伴跑来问是否能辅导今年集创芯海杯的MCU用SAR ADC的赛题。关于这个题目我在不同场合和不下20个业内资深人士讨论过。我们的共同结论是,题目出得太离谱,所以我今天就来说说我对这个题目的看法。首先,我奉上我前些年做MCU时调研MCU里ADC的IP的结果。
模拟IC设计实践
IC技术圈问答——最纯粹的IC设计技术交流平台
#求职就业  #问答 
IC技术圈网站又添新功能:IC技术圈问答,可以交流任何IC技术问题。
IC技术圈
IC技术圈——广告位招租
#资讯  #广告 
IC技术圈自有媒体平台,面向IC行业内有宣传需求的公司和个人,可接公司宣传、公司招聘、产品宣传、芯片培训、外包服务等芯片相关的广告和软文。
IC技术圈
用python发邮件(SMTP SSL协议)
#自动化  #python 
本文介绍了用python发邮件(SMTP SSL协议)的方法,在芯片设计自动化中有比较广泛的应用。
ExASIC
中国发布自主Chiplet小芯片标准
#资讯  #chiplet 
近日,清华大学姚期智院士代表中国 Chiplet 产业联盟,联合国内外 IP 厂商、国内领先封装厂商、国内领先系统与应用厂商共同发布了《芯粒互联接口标准》- Advanced Cost-driven Chiplet Interface(ACC)(简称:ACC标准)。
IC咖啡
工信部发布《国家汽车芯片标准体系建设指南(2023版)》
#资讯  #车载 
3月28日,工业和信息化部官网发布了《国家汽车芯片标准体系建设指南(2023版)》(征求意见稿)。《建设指南》由工信部组织汽车、电子等领域行业机构,梳理分析我国汽车芯片行业发展的现状和趋势,结合汽车与芯片的行业特点和应用需求编制,旨在为系统部署和科学规划汽车芯片标准化工作,引领和规范汽车芯片技术研发和匹配应用,推动汽车芯片产业的健康可持续发展。《建设指南》基于汽车芯片技术结构,从应用场景和标准内容两个维度搭建标准体系架构,明确了今后一段时期汽车芯片标准体系建设的原则、目标和方法,提出了体系框架、整体内容及具体标准项目,确立了各项标准在汽车芯片产业技术体系中的地位和作用。
CIC集成电路
2023年工程系列集成电路专业技术人员继续教育《公需科目》、《专业科目》培训的通知
#资讯  #职称 
2023年拟申报集成电路专业高级工程师、工程师的专业技术人员,申报总学时为三年内累计90学时(其中在线直播不少于45学时,必修课学时可以抵扣选修课学时)。《专业科目》学时要求,2023年拟申报集成电路专业高级工程师、工程师的人员应完成不少于50学时《专业科目》的继续教育,作为当年参加高级工程师、工程师职称申报的必要条件之一。
上海市集成电路行业协会
源码系列:基于FPGA数字时钟的设计(附源工程)
#FPGA  #Top-down设计思想  #数字时钟  #实操训练 
本次设计没有用按键控制数字时钟的秒,采取"Top to down"设计思想,分模块设计。大家可以自己做拓展设计再使用一个按键控制数字时钟的,然后用第三个按键来控制数字时钟的运行。
FPGA技术江湖
今日说“法”:FPGA芯片如何选型?
#FPGA  #芯片选型  #FPGA厂商  #综合考量 
本篇就当下应用比较多的Intel、Xilinx,Lattice、Actel 数家厂商简单聊聊,其他厂商的选型可以对应延伸类似选择。
FPGA技术江湖
数字设计笔试Verilog手撕代码 - 浮点加法器
#求职就业  #笔试面试 
今天在网上看笔试题发现有个设计浮点累加器的题目,看了下题目说明感觉不太清楚,恰好记得之前做过浮点数的加法运算的设计,索性就改了下题目需求,作为一个小练习在重新设计一遍。
FPGA and ICer
数字设计笔试Verilog手撕代码 - 累加器
#求职就业  #笔试面试 
本系列整理关于数字设计的笔试或面试的设计问题,手撕代码继续撕,今天撕一个百度昆仑笔试题的累加器设计。
FPGA and ICer
LEC和ECO中的latch要怎么处理
#后端  #ECO  #LEC 
尽管在全同步数字电路设计中建议禁止用latch,但latch在数字设计中还是有一些优势,比如面积比dff小、可以利用latch来borrow setup timing、保持数据bus、或者是实现特殊的异步锁存电路,所以在实际项目中还是偶尔会在网表里见到latch。ICG(Integrated Clock Gate集成门控时钟)也是一种latch的应用,利用latch来消除时钟毛刺。但是在做lec和eco时,普通latch与icg却需要不同的处理。
NanDigits
验证,设计相处的几个原则
#前端  #职场心得 
重视spec,拒绝口口相传的feature。完善的spec意味着2方面,一是设计把芯片架构和设计细节想清晰了,代码质量自然会高,bug数目自然会少,后期的收敛速度自然会快。二是给验证提供了正确的输入,验证有了完整的spec,才有可能做出完善的vplan和验证环境;如果设计都没想清楚怎么做,写到哪,想到哪,必然存在很多漏洞,后面通过打补丁修复bug,还有可能引入更多的bug, 甚至还存在推倒重来的可能。
芯片设计验证
科技部与国资委:坚决打赢关键核心技术攻坚战
#资讯 
2023年5月8日,科技部与国务院国资委召开工作会商会议,共同深入学习贯彻习近平总书记关于科技创新的重要论述,贯彻落实党中央、国务院决策部署,共同研究政策措施,支持推动中央企业建设科技领军企业,强化国家战略科技力量。
北京半导体行业协会
IC技术圈与合作伙伴在张江成功举办“IC设计与EDA应用研讨会”,共话集成电路行业发展
#资讯  #线下活动 
2023年8月5日,由楷领科技、IC技术圈、芯华章、芯和半导体、日观芯设、芯杉科技联合举办的“IC设计与EDA应用研讨会”在上海张江成功举行。此次研讨会旨在促进国内IC设计和EDA技术的发展和交流,吸引了众多IC设计与EDA领域各方面的人才参与。行业专家、工程师、企业管理人员和投资人纷纷从各自角度分享观点,引发了热烈的讨论。
IC技术圈
创业芯舟双周刊(IC版)第9期
#资讯  #创业芯舟双周刊 
创业芯舟双周刊分IC(集成电路)和AI(人工智能)两版,内容涵盖近期学术界的前沿成果和工业界的行业、投资、产品资讯。拜登下令禁止对中国敏感高科技产业的新投资。Synopsys探索芯片行业趋势与EDA中的人工智能。Cadence:人工智能在设计领域的应用展望。英伟达发布下一代GH200 Grace Hopper超级芯片平台,面向加速计算和生成式人工智能时代。英特尔首席执行官对芯片基金和出口管制表示担忧。(Yale)HyDe: A Hybrid PCM/FeFET/SRAM Device-search for Optimizing Area and Energy-efficiencies in Analog IMC Platforms。(Samsung)Mitigating Memory Wall Effects in CNN Engines with On-the-Fly Weights Generation。(Intel, IC)eGPU: A 750 MHz Class Soft GPGPU for FPGA。(Gatech)RV-CURE: A RISC-V Capability Architecture for Full Memory Safety。(ETH)Fast Shared-Memory Barrier Synchronization for a 1024-Cores RISC-V Many-Core Cluster。
创业芯睿
为什么格雷码可以辅助解决多bit跨时钟域的问题​?读完这篇文章,你就会进一步了解事情的本质
#前端  #时钟域 
格雷码是一种反射二进制码编码方式,它两个连续的值只相差一位(二进制数字)。它属于一种被称为最小变化码的代码,在这种代码中,相邻的两个码字中只有一个比特发生变化。这是一个未加权的代码,这意味着没有为位置分配特定的权重。
无界逻辑
聊聊IC工程师的35岁“危机”
#求职就业  #35岁 
那么35岁的IC工程师找工作也会有这样的危机吗?首先聊聊“危机”,“危机”是“危”与“机”的组合词,有危也有机。那么具体到某个人是危多一点还是机多一点?每个人情况不一样。
小蔡读书
基于 FPGA 的模拟 I²C协议系统设计
#FPGA  #I2C 
I²C(Inter-Integrated Circuit),其实是 I²C Bus简称,中文就是集成电路总线,它是一种串行通信总线,使用多主从架构,由飞利浦公司在1980年代为了让主板、嵌入式系统或手机用以连接低速周边设备而发展。I²C的正确读法为“I平方C”("I-squared-C"),而“I二C”("I-two-C")则是另一种错误但被广泛使用的读法。自2006年10月1日起,使用 I²C 协议已经不需要支付专利费,但制造商仍然需要付费以获取 I²C 从属设备地址。
FPGA技术江湖
数字设计小思 - 谈谈复位那些事
#前端  #复位 
在FPGA和ASIC设计中,对于复位这个问题可以算是老生常谈了,但是也是最容易忽略的点。本文结合FPGA的相关示例,再谈一谈复位。复位的用途:复位信号几乎是除了时钟信号外最常用的信号了,几乎所有数字系统在上电的时候都会进行复位,这样才能保持设计者确定该系统的系统模式的状态,以便于更好的进行电子设计,并且在任意时刻,确保使用者总能对电路系统进行复位,使电路从初始的状态开始工作。
FPGA and ICer
对话胡运旺:从业二十多年,我听过无数芯片创业公司的八卦和家丑|职白说S01E05
#资讯  #职白说 
本期对话的嘉宾是胡运旺。心理学专业毕业的老胡,偶然进入芯片行业,一做就是20多年:2001年创办KT人才,看过上万份IC人才简历,积累近20万芯片人才;2012年创办了IC圈尽人皆知的“IC咖啡”,搭建了中国IC产业链促进平台;2014年出版了《“胡”说IC——菜鸟工程师完美进阶》一书;2021年,又创办了以芯片早期投资为主的“芯汇投资”。二十余年的从业经历,让老胡积累了“和近一万芯片人喝过咖啡聊过天,也听过超100家芯片设计创业公司的家丑和八卦”的丰富业内经验。
赵琦
FPGA设计拦路虎之亚稳态度决定一切(面试必问)
#FPGA  #亚稳态 
亚稳态这种现象是不可避免的,哪怕是在同步电路中也有概率出现,所以作为设计人员,我们能做的是减少亚稳态发生的概率。由于亚稳态而计算出的平均无故障工作时间 (MTBF)。设计人员是否应采取措施减少此类故障发生的机会。
无界逻辑
时序(Timing)对功能ECO有多重要
#自动化  #功能ECO 
功能ECO主要指当RTL更新后对后端APR网表做的功能方面的改动。功能ECO可以由手工或者自动化工具完成,得到ECO网表。再由后端布局布线工具(如ICC2、Innovus)读入ECO网表,进行ECO Place和ECO Route。时序ECO主要指为了解决后端ECO Route时的setup和hold时序违例,可以用后端工具指令、外部工具(本厂或者第三方)、人工替换Cell、优化DRC等方法完成。
NanDigits
对话邸志雄:兼具理性与温情的芯片学子引路人|职白说S01E01
#资讯  #职白说 
在邸志雄老师的表述中,处处充满着理性与温情:它们看似是一冷一热的矛与盾,但邸老师可以将它们统一在一起——这可能是这位芯片科研工作者最浪漫的生活态度。
赵琦
创业芯舟双周刊(IC版)第10期
#资讯  #创业芯舟双周刊 
创业芯舟双周刊分IC(集成电路)和AI(人工智能)两版,内容涵盖近期学术界的前沿成果和工业界的行业、投资、产品资讯。ARM或成今年最大IPO,他们却在去“ARM化”。英伟达净利润暴涨843%,GPU大热、存储器厂商积极扩产HBM。中国互联网大厂订购50亿美元英伟达芯片 全球GPU短缺暂难缓解。中芯国际发布第二季度财报,产能利用率上涨10.2%。存储大厂目标年底NAND库存正常化。(VLSI-SoC 2023)SoftFlow: Automated HW-SW Confidentiality Verification for Embedded Processors。(Seoul National University) CiFHER: A Chiplet-Based FHE Accelerator with a Resizable Structure。(James Cook, York)A Review of Graphene-Based Memristive Neuromorphic Devices and Circuits。(Gatech)Flexible Hybrid Electronics: Future Standards For Next-Gen 5GMmWave Wearable And Conformal Applications。(Nature Communications)Fabrication of p-type 2D single-crystalline transistor arrays with Fermi-level-tuned van der Waals semimetal electrodes。
创业芯睿
近日IC技术圈在上海浦东国际人才港成功举办演讲秀《芯片与六便士》线下活动
#资讯  #线下活动 
9月24日下午,IC技术圈与合作伙伴在上海浦东国际人才港成功举办线下演讲秀《芯片与六便士》活动。活动中,行业专家分享了《美国商务部预算里的猫腻》、《2023年半导体行情里的钱》、《芯片公司的钱都花在哪了》等演讲和互动讨论。
IC技术圈
2023年诺贝尔物理学奖揭晓,三位科学家获奖
#资讯  #诺贝尔物理学奖 
北京时间10月3日下午,瑞典皇家科学院宣布,将本年度诺贝尔物理学奖授予美国科学家皮埃尔·阿戈斯蒂尼(Pierre Agostini)、德国科学家费伦茨·克劳斯(Ferenc Krausz)和瑞典科学家安妮·吕利耶(Anne L’Huillier),以表彰他们“为研究物质中的电子动力学而产生阿秒光脉冲的实验方法”。
IC技术圈
美国升级AI芯片出口禁令,看影响几何及各方反应
#资讯  #禁令 
10月17日,美国商务部工业和安全局(BIS)公布了新的先进计算芯片、半导体制造设备出口管制规则,限制中国购买和制造高端芯片的能力,并将13家中国GPU企业列入实体清单,主要为壁仞科技和摩尔线程及其子公司。新增的禁令主要可以分为三个部分:一是针对高端AI芯片和器件的禁售限制;二是针对中国Fabless的海外流片限制;三是将13家本土GPU公司列入实体清单。
TechSugar
痞子衡嵌入式半月刊:第 83 期
#嵌入式  #资讯  #期刊 
这里分享嵌入式领域有用有趣的项目/工具以及一些热点新闻,农历年分二十四节气,希望在每个交节之日准时发布一期。本期刊是开源项目(GitHub: JayHeng/pzh-mcu-bi-weekly),欢迎提交 issue,投稿或推荐你知道的嵌入式那些事儿。
痞子衡嵌入式
创业芯舟双周刊(IC版)第11期丨IBM研发突破性芯片架构NorthPole丨英伟达RTX 4090显卡对华禁售
#资讯  #创业芯舟  #期刊 
创业芯舟双周刊分IC(集成电路)和AI(人工智能)两版,内容涵盖近期学术界的前沿成果和工业界的行业、投资、产品资讯。如果您有意投稿、转载,或有改进意见、感兴趣的方向,欢迎进群交流。英伟达RTX 4090显卡对华禁售,清华:忆阻器存算一体芯片,IBM开发新芯片为AI提速,天玑9300端侧落地70亿AI大模型,山东大学集成电路学院成立等。
创业芯睿
开源的Bluespec SystemVerilog (BSV)语言表现如何?
#FPGA  #开源  #EDA  #游戏 
Bluespec SystemVerilog (BSV) 是由Arvind 开发的 Bluespec 语言,这是一种高级功能 硬件 描述编程语言,本质上是Haskell(Haskell ( / ˈh æs k əl / ) 是一种通用的、静态类型的、纯函数式 编程语言,具有类型推断和惰性求值。)的扩展,用于处理一般的芯片设计和电子设计自动化。Bluespec的主要设计者和实现者是Lennart Augustsson。Bluespec 被部分评估(转换 Haskell 部分)并编译为术语重写系统(TRS)。它带有一个SystemVerilog前端。BSV 编译为Verilog RTL 设计文件。2020年1月,Bluespec开源了bsc(Bluespec SystemVerilog Compiler)。因此我安装了 bsc,这是一个编译器,可以对用BSV (Bluespec SystemVerilog)编写的设计进行高级综合。BSV 与任何其他语言一样,无法通过阅读手册来学习,我认为学习新语言的唯一方法是实际设计应用程序。因此,我尝试使用 BSV 设计《太空入侵者》游戏。
OpenFPGA
半导体与新能源新材料行业周动态(11.6-11.12)| 云岫周刊 NO.284
#资讯 
云岫周刊针对半导体、新能源、新材料、智能制造、企业服务、生命科学与医疗科技产业链六个重点领域,为您提供每周一期的行业动态及资本投融监测。本刊将分别从国内外大公司动向、国内外融资事件、并购交易、技术趋势、宏观政策等角度进行展述,为你提供全面、及时的动态观察。 中瓷电子获25亿元战略投资,将用于产线建设与研发投入截至2023上半年,我国北斗终端设备总量已接近2300万台/套江苏润石再次重磅发布11颗车规级芯片,均通过AEC-Q100认证; 闻泰科技:上海临港晶圆厂试产直通率达95%以上,预计2024年达产; 联发科10月营收428.11亿新台币,环比增长18.66%,同比增长28.24%; 西电杭研院项目主体已封顶,研究院构围绕工业物联网、智能汽车电子、智慧医疗、集成电路、先进信息技术等五大产业方向; 力芯微表示全国股转公司同意赛米垦拓在新三板挂牌; 日本政府正在考虑加强针对可能具有军事用途的技术的出口管制; 英伟达全新中国定制版GPU符合美出口管制规则 DRAM涨价延伸至NAND,将有助于三星电子和SK海力士业绩; 日本频繁寻求额外预算补贴以争夺芯片行业领导地位; 传新款iPhone SE将以iPhone 14为基础设计搭载5G自研芯片最快明年春季推出; 日本基金INCJ出售18亿美元瑞萨电子股票;
云岫资本
创业芯舟双周刊(IC版)第12期 | AMD推出拥有96核心的Threadripper处理器 | 高通挑战AMD、苹果、英特尔,推出Snapdragon X Elite
#资讯  #创业芯舟  #期刊 
创业芯舟双周刊分IC(集成电路)和AI(人工智能)两版,内容涵盖近期学术界的前沿成果和工业界的行业、投资、产品资讯。如果您有意投稿、转载,或有改进意见、感兴趣的方向,欢迎进群交流。AMD推出拥有96核心的Threadripper处理器;高通挑战AMD、苹果、英特尔,推出Snapdragon X Elite;苹果在新款电脑中带来强大的M3系列芯片;基于MEMS的精密定时驱动汽车安全系统;新型氮化镓电源在无散热器的情况下可提供高达85瓦特功率;3D NAND Flash Memory Cell Current and Interference Characteristics Improvement With Multiple Dielectric Spacer;Potentials And Issues Of Designing Fault-Tolerant Hardware Acceleration For Edge-Computing Devices;Predicting Defect Properties In Semiconductors With Graph Neural Networks;Enabling HW-based Task Scheduling in Large Multicore Architectures;Optimizing the Photodetector/Analog Front-End Interface in Optical Communication Receivers;
创业芯睿
基于 FPGA 的目标检测网络加速电路设计
#FPGA  #CNN 
目前主流的目标检测算法都是用CNN来提取数据特征,而CNN的计算复杂度比传统算 法高出很多。同时随着CNN不断提高的精度,其网络深度与参数的数量也在飞快地增长, 其所需要的计算资源和内存资源也在不断增加。目前通用CPU已经无法满足CNN的计算需 求,如今主要研究大多通过专用集成电路(ASIC),图形处理器(GPU)或者现场可编程门 阵列(FPGA)来构建硬件加速电路,来提升计算CNN的性能。其中 ASIC 具备高性能、低功耗等特点,但 ASIC 的设计周期长,制造成本高,而 GPU 的并行度高,计算速度快,具有深度流水线结构,非常适合加速卷积神经网络,但与之对 应的是 GPU 有着功耗高,空间占用大等缺点,很多场合对功耗有严格的限制,而 GPU 难 以应用于这类需求。近些年来 FPGA 性能的不断提升,同时 FPGA 具有流水线结构和很强 的并行处理能力,还拥有低功耗、配置方便灵活的特性,可以根据应用需要来编程定制硬 件,已成为研究实现 CNN 硬件加速的热门平台。综上所述,使用功耗低、并行度高的 FPGA 平台加速 CNN 更容易满足实际应用场景中 的低功耗、实时性要求。而且目标检测算法发展迅速,针对 CNN 的硬件加速研究也大有可 为。所以本项目计划使用 PYNQ-Z2 开发板设计一个硬件电路来加速目标检测算法。本项目设计的目标检测算法硬件加速电路可以应用在智能导航、视频监测、手机拍照、 门禁识别等诸多方面,比如无人汽车驾驶技术,高铁站为方便乘客进站而普遍采用的人脸 识别系统,以及警察抓捕潜逃罪犯而使用的天网系统等都可以应用本项目的设计,加速目 标检测算法的运算速度以及降低系统的功耗。
FPGA技术江湖
能不能面向通用人工智能AGI,定义一款新的AI处理器?
#前端  #AI  #处理器 
AI大模型的热潮不断,预计未来十年,AGI时代即将到来。但目前支撑AI发展的GPU和AI专用芯片,都存在各种各样的问题。那么,在分析这些问题的基础上,我们能不能针对这些问题进行优化,重新定义一款能够支持未来十年AGI大模型的、足够灵活通用的、效率极高性能数量级提升的、单位算力成本非常低廉的、新的AI处理器类型?首先,分析场景的特点,做好软硬件划分;大核少核 or 小核众核;极致扩展性,多层次强化内联交互;AI芯片案例。
软硬件融合
痞子衡嵌入式半月刊:第 85 期
#嵌入式  #期刊 
这里分享嵌入式领域有用有趣的项目/工具以及一些热点新闻,农历年分二十四节气,希望在每个交节之日准时发布一期。本期刊是开源项目(GitHub: JayHeng/pzh-mcu-bi-weekly),欢迎提交 issue,投稿或推荐你知道的嵌入式那些事儿。1、NetX Duo - 专为深度嵌入式实时应用设计的TCP/IP网络堆栈;2、Zorb-Framework - 基于面向对象思想的轻量级嵌入式框架;3、try.c - C语言实现的try catch异常捕获;4、Zlib - 非常漂亮的无损数据压缩库;5、Kaluma - 小而高效的单片机版JavaScript
痞子衡嵌入式
RV双周报:谷歌公布RISC-V安卓计划,新思全面转向RV架构(第70期-20231115)
#资讯  #RISCV  #期刊 
谷歌方面最近公布了一系列Android平台对于开源架构RISC-V的未来支持计划;RISC-V服务器芯片设计厂商Ventana Micro Systems发布了其第二代服务器CPU——Veyron V2;DynamoRIO是性能优化工程师常用的剖析工具之一,能够以较低的开销抓取软件运行的 workload 并进行修改,常被用于指导 CPU 的设计空间探索、程序优化、安全分析等领域,包括华为、Google等国内外知名企业均在使用
硅农亚历山大
【犄角旮旯的bug】UVM环境的看门狗怎么没看住超时了?
#验证  #UVM 
uvm验证环境里一般通过objection机制来控制仿真的结束,不过在机制之外,有时还需要通过看门狗来watchdog避免仿真环境挂死,watchdog配合objection一起来控制仿真的进行与结束。我一直自诩为对环境watchdog这件事烂熟于心了,不过没想到这天还是被伤害到了。“@harness.dut.hand_en”和“wait harness.dut.hand_en”到底有什么区别?
芯时代青年
【芯片设计】700行代码的risc处理器你确实不能要求太多了
#前端  #芯片  #处理器 
指令级在inst.md文档中,这个指令级真的非常奇怪。分长指令(2Byte)和短指令(1Byte)也就罢了,mem竟然还限制每拍只能取1Byte数据,这导致长指令需要两拍才能取指完成。进一步的后果就是长指令需要5拍处理完成,短指令只需要4拍处理完成。以后基于这个结构可以再优化优化,继续当练手用的代码。模块数据流如下图所示,将获取到的角度进行一个8阶的FIR滤波,加速度进行一个2阶的IIR滤波,即可得到相对平滑一点的数据,然后经过Cordic算法进行姿态解算,即可得到roll和pitch角度,为了得到更加平滑一点的roll和pitch数据,这里将得到的角度再进行了一个1阶的均值滤波(本来使用的是3阶的均值滤波,但是相位延时有点大,所以修改为1阶的了)
芯时代青年
创业芯舟双周刊(IC版)第13期 | Nvidia训练用于芯片设计的大语言模型 | Microsoft采用系统化策略研发芯片以满足人工智能需求
#资讯  #期刊 
创业芯舟双周刊分IC(集成电路)和AI(人工智能)两版,内容涵盖近期学术界的前沿成果和工业界的行业、投资、产品资讯。如果您有意投稿、转载,或有改进意见、感兴趣的方向,欢迎进群交流。Nvidia训练用于芯片设计的大语言模型;Microsoft采用系统化策略研发芯片以满足人工智能需求;Neuchips展示用于LLM推理的推荐加速器;ZeroASIC将微型芯片模块引入嵌入式SoC;防止电动汽车受芯片"噪声"影响的新技术
创业芯睿
如何做才能保证芯片设计的一次成功率
#管理  #设计流程 
芯片设计是一个复杂而精细的过程,涵盖多个步骤,每个步骤都存在难点和痛点。要保证芯片设计的一次成功率,需要充分理解每个步骤的挑战,并采取相应的策略和优化措施。总结了芯片设计流程中各个步骤的难点以及如何提高成功率的方法,和为了提高芯片流片的一次成功率常用的方法和策略
高通内推王
浅谈loongArch、RISC-V、ARM优劣
#前端  #指令集 
ARM生态在三者中最好,技术也成熟,在指令集授权上,ARM是相对严格的,迄今为止,还未有大陆厂商官宣买到了ARM V9授权,目前只买到ARM V8授权。即便可以继续用ARM V8授权,仍然无法摆脱ARM的钳制。RISC-V指令集开源,部分CPU核也开源,但大部分RISC-V CPU公司IP核要付费。RISC-V的优势是指令集数量少,开发门槛和开发成本相对较低,但缺点是现阶段的指令集只适合嵌入式,不太适合高性能场景。在CPU核心设计上,龙芯是国内唯一在IPC上追平英特尔13代酷睿CPU,是自主指令集,自研核心IP,自研CPU,自建软件生态,而且CPU单核性能国内顶尖,可谓是又红又专。当一些企业为了追逐短暂的市场优势,而去依附现成的主流生态,把自己的命运交托到西方科技公司手中时,龙芯选择了另起炉灶,独立自主,这是一条最为艰难的道路。
铁君
FPGA实现平衡小车
#FPGA  #电子制作 
硬件介绍:底板资源:TB6612电机驱动芯片 * 2,MPU6050陀螺仪,WS2812 RGB彩色灯 * 4,红外接收头,ESP-01S WIFI。核心板:微相 A7_Lite Artix-7 FPGA开发板。电机采用的是平衡小车之家的MG310(GMR编码器)电机。底板上有两个TB6612芯片,可以驱动四个电机,除了做平衡小车之外,也可以用来作为四驱车的底板,非常nice,同时支持两种控制方式,推荐通过红外遥控进行控制,实现起来非常简单,红外遥控器也非常便宜。RTL 介绍:本次设计分为三大部分: MPU6050姿态解算 , 电机驱动(PWM+编码器) , 平衡控制,遥控器控制的模块另外算。其中MPU6050姿态解算为设计的核心,解算出来的角度越稳,平衡控制就越容易,小车也就越稳定。平衡控制其核心是调节PID的参数,参数调的好,小车没烦恼。
FPGA之旅
漫谈AMBA总线-AXI4[概述]
#前端  #AMBA_AXI4 
AXI协议是一个点对点接口规范,而不是SoC互联总线协议。所以如果想要连接多个AXI Master和多个AXI Slave时,就需要实现互联总线结构。
IC解惑君
TCL摩星半导体为什么会失败?
#资讯  #行业研究 
由TCL旗下摩星半导体的倒闭为引子,今天想继续这个话题,探讨一下这家公司为什么会失败的深层原因。行业周期要转入上行周期,必须做到产能去化,让生猪、仔猪和淘汰母猪的价格加速下跌,技术不太行的芯片公司也要倒闭更多,才能有利于全行业产能清出,如果在明年二季度完成产能出清,那么无论是猪企还是芯片企业都能完成触底,具备优秀现金流和成本管控能力的企业才能脱颖而出。摩星们的倒闭,是半导体行业周期触底的必由之路,只能如此优胜劣汰,才能让行业重新进入上行通道。每一次周期,既是危机,也是机遇。
土人观芯
【IC技术圈专栏】十多家外企免费内推(内推王)2023/12/10更新
#求职就业  #内推 
谢谢信任内推王!本人提供一站式免费外企内推服务,可内推外企包括:英伟达,苹果,高通,ARM,微软,AMD,ASML阿斯麦,NXP,Synopsys 新思科技,Cadence,ZOOM。
高通内推王
创业芯舟双周刊(IC版)第14期 | AI和AR驱动内存更新 | 利用AI Co-Pilots优化电子设计 | Amkor宣布在美国建立先进封装和测试设施
#资讯  #期刊 
AI和AR驱动内存更新;利用AI Co-Pilots优化电子设计;Amkor宣布在美国建立先进封装和测试设施;通过Synopsys.ai全EDA堆栈实现生成式AI的增益;AMD在印度开设全球最大设计中心;RapidChiplet: A Toolchain for Rapid Design Space Exploration of Chiplet Architectures;CV32RT: Enabling Fast Interrupt and Context Switching for RISC-V Microcontrollers;Efficient Algorithms for Monte Carlo Particle Transport on AI Accelerator Hardware;PIMFlow: Compiler and Runtime Support for CNN Models on Processing-in-Memory DRAM;Turning the Table: Using Bitstream Reverse Engineering to Detect FPGA Trojans
创业芯睿
巧用DC里的RTL原语实现MUX门级映射
#后端  #综合 
对于前端设计人员,经常会需要一个MUX来对工作模式,数据路径进行明确(explicit)的声明,这个对于中后端工程师下约束也很重要。这里介绍一种巧用的RTL原语,实现MUX的方法。为了在最终网表里边实现确实的MUX,通常有两种方式。1、RTL designer采用了手动实例化(instance)工艺的MUX来实现MUX。但是对于RTL在不同工艺下使用,却造成了一些不便。2、一种使用脚本替换的方法,即在综合elaboration的数据库上进行对标工艺的硬替换(replace),这样也需要一套脚本流程。上述方法可以实现MUX,但是灵活度不是很好,也需要额外的流程和代码量。这里看看DC的解决策略。
艾思后端实现
RISC-V 架构是未来国产芯片的突破方向吗?
#资讯  #riscv  #开源 
因为开源,RISC-V给了国产CPU芯片开辟了一条新的道路,但也因为开源,这条道路也会走得异常艰辛。开源既不等于免费,也不意味着没有任何限制。对于国产芯片,相比长期被国外垄断的局面,我更乐于看到国内企业群魔乱舞,因为群魔乱舞的另一面,是百花齐放。
OpenIC
芯知:大变局下的半导体集成电路产业剖析
#资讯  #图书 
这是一本深度剖析中国半导体集成电路产业发展、有实际指导意义的参考书。通过阅读本书,可以获得对半导体集成电路产业深入理解的知识和经验,更加深刻全面了解半导体集成电路产业,了解中国集成电路产业链上下游及行业应用的现状和趋势,认清产业的机遇和挑战。
芯知IC
如何搞定通信物理层?
#FPGA  #FPGA  #通信  #算法 
本文梳理在无线通信中,物理层通常会包含哪些内容,以及如何落地实现。
FPGA算法工程师
【IC技术圈专栏】怎样在dc里做物理综合
#后端  #综合 
物理综合就是不单纯考虑时序、面积和功耗,还要考虑APR的形状、pin坐标、可用的金属层等物理信息。
iLoveIC
数字IC设计中的分段时钟树综合
#后端  #CTS 
为什么需要分段去做时钟树呢?因为在某些情况下,按照传统的方法让每一个clock group单独去balance,如果不做额外干预,时钟树天然是做不平的。比如,某个Macro(硬核IP或特定子模块)内部的寄存器,正常情况下工具无法识别到该寄存器,也无法将时钟和外部寄存器的时钟做平。
志芯
一种芯片温度传感器(on-die temperature sensor)的设计方法
#模拟  #温度传感器 
本文来自三星,发表于2010年,文献链接:https://ieeexplore.ieee.org/document/5682900。本文介绍了45nm工艺Die上一种温度传感器设计,基于single sub-PNP结构,传感器分辨率高、精度高、面积小。
ThermalX
作为一名芯片设计从业者,需要在 2024 年重点关注哪些方向?
#求职就业  #行业分析 
芯片行业的从业者经历了2023这样非常不平凡的一年,尽管这个行业是一个相对成熟且渐进式进步的行业,但2024依然有一些变数,以下是笔者认为值得关注的点。今年两个特别突出的市场增长点,AI(AIGC大模型)、汽车电子依然会保持不错的势头。技术层面,高性能计算、工艺制程、RISC-V、通信芯片、封装都是值得关注的方向。
OpenIC
数字IC后端PR Flow中应该如何优化静态功耗和动态功耗?
#后端  #功耗 
今天来分享下那些功耗优化方法在数字IC后端实现中的具体应用。我们知道功耗由三部分组成,分别是Dynamic,Short Circuit和Leakage。工艺节点越小越先进,leakage和Dynamic的占比会越高。因此,大家在做具体项目时需要根据自己的process node来重点优化较大比例的那部分功耗。本文详细讨论了几种降低功耗的方法。
吾爱IC社区
携手国产芯,共铸全国产USB3.0相机
#资讯  #sensor 
奥唯思携手全国产芯片方案,耗时6个月,打造了一款高性能、低照度、全国产的1080P60的USB3.0工业相机方案。目前方案已经小批量产,成功接入了Windows、Linux,以及RK3588等国产化平台,并已交付alpha客户,开始落地客户需求,助力整体国产化方案。
ALWAYS科技
闲聊芯片质量管理工程师
#求职就业  #质量工程师 
国内芯片厂商整体在从消费类往工业用芯片和汽车芯片转型,芯片的质量管理是其中的重头戏。本人非质量出身,尝试从质量管理、质量分析方法、良率诊断工具等角度描述质量管理工程师的角色。
老虎说芯
算力芯片,终局之战?
#资讯  #行业分析 
写今天这篇文章的时候,我内心是焦虑的,甚至有点悲观。中国的芯片界同仁,不可谓不努力:充满艰难险阻的工作,数十年如一日的煎熬,直面国际巨头的竞争。在芯片具体产品层面,别人有性能优势,我们有价格优势。不敢说能打个你来我往,但至少还有还手之力。然而,在计算生态方面,我们则完全没有招架之力。计算生态就像一只无形的手,抹去了我们仅有的一点可能的机会,阻挡着我们前进的步伐,让我们距离世界先进越来越远。更令人焦虑的是未来:一方面,计算生态的作用在不断地加强;另一方面,不同领域不同处理器的计算生态有进一步融合的趋势,逐渐形成新的超级生态。两相叠加,一旦超级生态逐步建立,后进者再无翻身的可能。未来5-10年,大算力芯片,将迎来终局之战。
软硬件融合
Verilog-AMS-基本语法
#验证  #AMS  #混仿 
做数模混合验证,常打交道语言就是Verilog-A和Verilog-AMS,列举了两者的异同点,可以说Verilog-A是Verilog-AMS的子集。本文及后续文章不再区分Verilog-A和Verilog-AMS,均指Verilog-AMS,下面介绍Verilog-AMS基本的语法。
芯片设计验证
车载SerDes技术概述、特点和应用
#资讯  #行业分析  #serdes 
SerDes(Serializer/Deserializer):一种高速串行数据传输技术,通过将多路低速并行信号转换成高速串行信号,并在传输过程中保持数据的完整性和准确性,实现高效的数据传输。在现代汽车中,各种传感器、摄像头、雷达和通信设备等组件产生的数据量巨大,需要高速、可靠的数据传输。SerDes技术通过将数据转换为高速串行信号,并通过传输媒体(如光缆或铜线)进行传输,满足了大规模数据传输的要求。同时,由于SerDes信道内只传输串行数据,而不传输时钟信号,可以有效避免高带宽时钟信号偏移的问题。车载SerDes被广泛应用于汽车摄像头与电子控制单元(ECU)之间的长距离数据传输。它扮演着将摄像头采集到的视频数据传输给ECU进行处理和分析的关键角色。车载应用给SerDes带来了更高的要求。
老虎说芯
为什么内推成为当下求职的新方式?
#求职就业  #内推 
找工作,如果你还没有心仪的公司,那么上招聘网站去浏览相关的岗位即可。一旦你已经有心仪的理想公司,那么通过你的人脉关系找到该公司的员工帮你做内推,那么不失为一种明智之举。找内推推荐,本文列举了要避免的思想误区,及相比自己投简历的几点优势。
高通内推王
FPGA图像处理_ISP算法BLC
#FPGA  #ISP  #图像处理 
BLC,即 Black Level Correction。cmos 在传出黑色图像的时候,由于自身结构的原因,黑色画面传出的像素值并不是 0,为了达到最大的对比度,我们需要通过算法将这个黑色帧的值回归到 0 的值。我们可以将它想象成照片的“基准线”。每张照片都有自己的黑色基准,而BLC 的任务就是确保这个基准是稳定的,这样我们拍摄出来的照片在暗部细节上才会一致。BLC 模块小,但是魔力巨大。
FPGA攻城狮之家
什么是第三代通用计算?
#资讯  #行业分析 
大家一直有个误解,觉得通用和专用,是对等的两个选择。例如,牧本波动(Makimoto's Wave),是一个与摩尔定律类似的电子行业发展规律,它认为集成电路有规律的在“通用”和“专用”之间变化,循环周期大约为10年。我们的观点则是:相比专用,通用是更高级的能力。集成电路等各种事物发展规律的常态是通用,“通用到专用”只是达到通用状态后的一些新的探索,是临时状态,最终还是要回归到通用。专用是事物表面的、临时的、局部的特征,而通用则是事物本质的、长期的、全面的特征。对大芯片来说,通用是成功的必由之路。CPU是通用芯片,成就了Intel的成功;GPU是通用芯片,成就了NVIDIA的成功。目前,还没有看到做专用芯片非常成功的案例。长期地看,专用是临时的,专用的芯片也是临时的,最终的结果只能是走向消亡。今天这篇文章,我们聊聊通用和通用计算的话题。
软硬件融合
Innovus Flexible H-tree Flow
#后端  #CTS 
本文介绍了IC后端训练营项目cortexa7core使用的Flexible H-tree Flow。
吾爱IC社区
裸泳的芯片公司还有多少?
#资讯  #行业分析 
前几天的新闻,又有一家大公司旗下的芯片公司解散,这次是TCL控股的全资子公司摩星半导体,这也是继OPPO和魅族解散芯片研发团队之后,又一家知名企业解散自研芯片团队。估计是快到年底了,很多公司不想拖到过年了,下来可能还会有类似的新闻出现。海水退潮的时候,才能看到哪些人是在裸泳。
土人观芯
浅谈dft之dft概述
#后端  #DFT 
可测试性设计(Design for Testability)是一种集成电路设计技术。它是一种将特殊结构在设计阶段植入电路的方法,以便生产完成后进行测试,确保检测过后的电子组件没有功能或制造上的缺陷。电路测试有时并不容易,电路的许多内部节点信号在外部难以控制和观测。通过在半导体工艺中添加可测试性设计结构,如扫描链等,并利用自动测试设备执行测试程序,可以在生产完成后立即进行质量检测。有些特定的设备会在其最终产品的组件上加上测试功能,在消费者的使用环境下执行时一并测试。测试程序除了会指出错误信息外,还会一并将测试的日志保留下来,可供设计人员找出缺陷的来源。更简单的说,测试程序会对所有的被测设备输入测试信号,并期待它们给出预期的正确回应。如果被测设备的回应与预期回应一致,则可得知电路正常,否则 即为测试错误。为了方便使用测试程序检测错误,电路设计阶段不可忽视可测试性设计。在可测试性设计的规则确认完善下,可以利用自动测试图样发生器进行更复杂的测试。
志芯
闲聊FAE
#求职就业  #岗位分析 
最近有个芯片创始人聊起来,FAE太难招了,自己不得不充当FAE的角色。在公司创立早期,创始人是CEO,因为经常跑客户,所以也得兼着FAE,可能还是产品经理的角色。
老虎说芯
【实测】基于Corundum架构的100G RDMA网卡
#FPGA  #Xilinx  #RDMA  #100G  #Corundum 
介绍一种自研的具备RDMA功能的100G FPGA网卡实现方案—RNIC 。本方案以Corundum开源高性能原型平台为基础, 实现了100Gbps的RoCEv2网络协议栈卸载加速;在保留Corundum原生PCIe DMA引擎等组件的基础上,通过精准的拆分设计、逻辑耦合和路径复用,将RoCEv2网络协议栈嵌入以太网网卡设计。方案支持单边RDMA READ和RDMA WRITE操作、双边SEND/RECV操作以及立即数操作,提供Back-to-N的重传机制保障数据传输完整性的同时提供了可达256的Outstanding能力, 并支持基于DCQCN算法的拥塞控制机制为本方案在以太网中的大规模部署提供保障。实测RNIC能够实现低至4us左右的硬件端到端延迟以及高达96Gbps的吞吐量。
网络交换FPGA
2023 SpinalHDL 应用前景探索研讨会(视频回放)
#前端  #Spinal 
达坦科技通过软硬件深度融合的方式打通云间壁垒,实现数据高效跨云访问。其采用硬件加速提升存储性能,目前采用FPGA实现存储相关场景的性能加速。SpinalHDL是达坦科技在产品中使用的硬件描述语言之一,因此,达坦科技一直热心于推广SpinalHDL在业界的落地应用。达坦科技此次联合SpinalHDL社区及该语言的创建者 Charles Papon 举办了 《2023 SpinalHDL应用前景探索》的线上研讨会,分为两个Track。
达坦科技DatenLord
几个常见的Verilog-AMS model
#验证  #verilog-ams  #verilog-a  #ams  #验证 
分享几个常见的verilog-ams model, 例如电阻,电容,电感等。
芯片设计验证
【IC技术圈专栏】innovus中如何手动拉线及常用快捷键(又找回了左手键盘,右手鼠标的感觉)
#后端  #innovus 
route之后已经用了相当多的办法(包括调整floorplan)之后,仍然有drc或者antenna,且violation数量不多时,就需要手动拉线了。本文介绍了innouvs里手动拉线常用的工具和快捷键。
iLoveIC
AMBA AXI概述
#前端 AMBA AXI 
AMBA全称Advanced Microcontroller Bus Architecture(高级微控制总线架构),是一种开放的、标准的片上互联总线规范协议簇。从本质上来说,AMBA协议簇定义了片上功能模块是如何进行相互通信。同时随着时间演化,已经从AMBA到现在的AMBA5。
IC解惑君
开源Bluespec bsc编译器和可重用示例设计
#前端  #bluespec  #开源工具 
这篇介绍Bluespec以及设计示例的文章,是在2021年ICCAD(International Conference On Computer-Aided Design)发布的论文。达坦科技的open-rdma项目和推广的MIT体系结构学习社区都用到Bluespec,因此将此论文翻译成中文,以便大家了解2020年Bluespec开源后相关的开源项目。摘要:bsc编译器是一个商业可用的编译器,在过去的二十年中被广泛使用,于2020年1月作为免费开源工具发布。本文简要介绍了bsc及其流程,提供了可用的教程材料,并介绍了多个可重用的开源设计案例,其中许多设计聚焦在RISC-V上(覆盖了从嵌入式到支持Linux的CPU和系统),所有设计均可部署在FPGA上。
达坦科技DatenLord
使用VCS进行代码加密的方法
#验证  #VCS  #加密  #验证 
文章介绍了使用VCS加密的方法,并提供了一个简单脚本供参考
阿辉说
【IC技术圈专栏】innovus dbGet用法探索
#后端  #innovus 
dbGet可以读取innovus的内部设计数据库,从中得到如inst、net、lib、lef等信息,也可以查询cell、net的状态和属性。
iLoveIC
【IC技术圈专栏】如何订阅“IC技术圈期刊”
#资讯  #IC技术圈 
本文介绍了订阅“IC技术圈期刊”的几种方法。“IC技术圈期刊”内容来自IC技术圈成员的优秀文章、IC技术圈专栏的优秀文章,以及IC设计行业的其它优秀技术文章等。
IC技术圈官方
漫谈AMBA总线-AXI4[概述]
#前端  #AMBA 
AMBA全称Advanced Microcontroller Bus Architecture(高级微控制总线架构),是一种开放的、标准的片上互联总线规范协议簇。从本质上来说,AMBA协议簇定义了片上功能模块是如何进行相互通信。同时随着时间演化,已经从AMBA到现在的AMBA5。
IC解惑君
Redhawk_sc中Dynamic IR NPV场景 ​Instance是怎么翻转的?
#后端  #redhawk 
在run dynamic vectorless IR时,instance是何时翻转的?每次有多少instance在翻转?instance pin翻转必须在自己的timing window范围内,具体在timing window内每次有多少instance翻转或者说这个instance pin到底翻不翻?和设置的toggle rate、frame_length、工具算法有关。
小蔡读书
Channel里的Power Switch怎么加?
#后端  #powerswitch 
channel里的switch cell密度通常要比core内密度更高些,以保证mem供电充足。这里至少需要两步操作:1) 获取channel坐标;2) 单独给channel加switch cell。
拾陆楼
深入理解AMBA总线(十八)一个简单的AXI2SRAM设计
#前端  #AMBA 
本篇文章讲解一个简单的AXI Slave的设计,希望能够带大家巩固AXI的基本设计思路。本模块是ETH开源项目PULP的一个小模块。
芯时代青年
想用FPGA加速神经网络,这两个开源项目你必须要了解
#FPGA  #开源项目 
优秀的 Verilog/FPGA开源项目介绍(十五)- 加速神经网络的必备开源项目,目前主流的解决方案就是使用通用或专用处理器来做控制工作,让硬件来执行计算(加速的概念),今天就介绍两个针对以上解决方案的开源项目,这两个项目是用FPGA进行硬件加速的必备项目。
OpenFPGA
如何最大限度提升仿真性能???
#验证  #验证  #仿真  #性能 
本文将从各个方面来探讨如何最大化仿真性能。
芯片设计验证
Vim的基本使用方法
#软件  #gvim  #vim  #编辑器 
Vim是做数字IC设计、验证最流行的编辑器,没有之一。下面介绍一下基本使用方法。
ExASIC
【IC技术圈专栏】HG Mercurial大文件扩展
#软件  #版本管理  #hg 
大文件有一些特点,不能压缩、版本之间的diff没有意义、也没法合并。这样的大文件用只保存文件版本差异的方式就不合适了,因为差异可能巨大。用Mercurial的largefiles扩展可以解决这个问题,把大文件放在中心版本服务器上,而不是放在每个人的本地。使用时,你只需要从中心版本服务器直接拿指定的版本。
偷懒小技巧
为什么白嫖知识不香了
#资讯  #知识付费  #知识星球  #小报童  #知乎 
为什么白嫖知识不香了,因为知识付费更具性价比!本文介绍了什么是知识付费,知识付费对创作者和读者的好处,以及芯片设计领域的付费博主推荐。
IC技术圈
【IC技术圈专栏】Accelerating ECOs in SOC Design
#前端  #综合  #ECO  #大芯片 
When a functional ECO is required, and it pertains to a specific sub-module, the design team aims to restrict the ECO to that particular sub-module rather than initiating synthesis for the entire design.
NanDigits
#前端  #以太网  #流控机制  #PAUSE帧 
本文详细介绍以太网PAUSE 帧格式以及不同端口速率下的Quanta 值
FPGA自习室
使用SpinalHDL和Cocotb进行敏捷数字芯片设计和验证
#验证  #spinal  #cocotb 
领域特定架构已成为计算机发展的一种趋势。在提供更高效算力的同时,它也给底层硬件的开发带来了更大的挑战。传统的芯片设计和验证技术已越来越无法应对这些新的要求和挑战。在芯片设计上,本文将介绍如何使用SpinalHDL,一种基于Scala的新型硬件描述语言(HDL),或者更准确地说是硬件构造语言,提高硬件设计的效率和质量。对于SpinalHDL,本文还介绍了如何利用Scala的类型系统高效地进行复杂硬件的设计。对于验证,本文将介绍基于Python的验证环境Cocotb,以及如何利用Python简洁高效的语言特性及其繁荣的开源社区,提升芯片验证的效率。
达坦科技DatenLord
从Verilog到SpinalHDL
#前端  #spinal 
SpinalHDL和Chisel师承一脉,都是基于Scala来进行电路描述。其本质上仍旧是HDL而非HLS,在设计之前依旧需要有清晰的电路结构,只不过是在电路描述上更加方便和快捷而已。个人学习SpinalHDL已有半年时间,SpinalHDL在解决上面的那些痛点上觉得做的是相当优秀,有感兴趣的小伙伴不妨尝试了解下。诚然,SpinalHDL的入门曲线可能较陡一些,但越过此门当真是打开一个新的世界。结合SpinalHDL—Doc文档及个人学习经历,推出下面个人的SpinalHDL的笔记总结,建议结合SpinalHDL-Doc进行阅览。
Spinal FPGA
ICC2:polygon命令与复杂power plan
#后端  #ICC2  #powerplan 
之前在polygon多边形操作一文中举例介绍了ICC2中如何用polygon命令创建环形金属,这里再介绍一种实用用法。我们需要在M8 stripe与M2 power rail之间搭一座梯子将M2到M8连接起来。首先,抓取二者重叠处bbox,使用的是compute_polygon命令。然后用create_shape on track搭建各层梯子。
拾陆楼
SystemVerilog,验证与AOP(一)概述
#验证  #SystemVerilog  #AOP 
面向切面编程(AOP)是对面向对象编程(OOP)的补充。AOP中关键概念称之为"切面"或者切面扩展,能够改变一个或多个类的行为方法。在SystemVerilog中使用AOP可以更加有效灵活地涉及testcase,减少code lines。AOP主要用于解决,在随机约束的TB中使用OOP难以解决的一些问题:1、上下文相关的行为2、临时性或"需求"外的扩展 3、……
验证芯发现
基于 FPGA 的 UART 控制器设计(附代码)
#FPGA  #UART 
本篇会介绍使用 FPGA 实现 UART,包括UART设计框架、UART 工作流程、信号监测器模块的实现、波特率发生器模块的实现、移位寄存器模块的实现、奇偶校验器模块的实现、总线选择器模块的实现、计数器模块的实现、UART 内核模块的实现、UART 顶层模块的实现 、测试平台的编写和仿真等相关内容。
FPGA技术江湖