IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
FPGA STA(静态时序分析)
#FPGA  #静态时序分析  #时延要求  #验证 
静态时序分析简称STA,它是一种穷尽的分析方法。它依照同步电路设计的要求。依据电路网表的拓扑结构,计算并检查电路中每个DFF(触发器)的建立和保持时间以及其它基于路径的时延要求是否满足。STA作为FPGA设计的主要验证手段之中的一个,不需要设计者编写测试向量,由软件自己主动完毕分析,验证时间大大缩短,测试覆盖率可达100%。
FPGA技术江湖