成员图书列表
Writing Testbenches using SystemVerilog
Janick Bergeron
Writing Testbenches Using SystemVerilog offers a clear blueprint of a verification process that aims for first-time success using the SystemVerilog language.
验证工程师的自我修养 Feb. 24, 2023, 6 p.m.
非常系统地讲解了testbench的写法,sv甚至uvm的底层逻辑,醍醐灌顶
芯片验证漫游指南
刘斌
资深验证专家刘斌(路桑)向您全面介绍芯片验证,从验证的理论,到SystemVerilog语言和UVM验证方法学,再到高级验证项目话题。这本综合性、实用性的验证理论和编程方面的图书,针对芯片验证领域不同级别的验证工程师,给出由浅入深的技术指南:学习验证理论来认识验证流程和标准,学习SystemVerilog语言和UVM方法学来掌握目前主流的动态验证技术,了解高级验证话题在今后遇到相关问题时可以参考。
芯片设计验证 Feb. 24, 2023, 1:22 p.m.
从验证理论到实践,从细节到全局,系统讲述了验证的方方面面,是不可多得的红宝书。
芯事、芯事2
谢志峰等
本书让读者看到集成电路发展史的多个面相,在深度和角度上进一步拓展您的认知,用新的态度来解读关键的历史瞬间,梳理芯片产业的脉络,深入认知芯片产业链的全貌,洞察集成电路的现状,并在某种程度上对未来20年的芯片发展提出期望。希望本书能够给集成电路的政策制定者、投资者、经营者、管理者和其他各类从业者以启迪,给有志于投身集成电路行业的人员以综合认知,给集成电路的下游应用以策略依据,给有兴趣了解集成电路的大众以行业知识。
小蔡读书 Feb. 24, 2023, 1:23 p.m.
了解IC产业发展史和现状得一本书。
芯片产品线经理生存指南
俞志宏
本书详细介绍了如何在芯片公司做好产品管理和市场营销,着重讲述了在芯片产业中产品管理者的各方面工作,总结了全球成功的芯片公司的产品开发管理和市场营销较为普遍的运作规律和方法,包括其产品规划、开发流程、组织管理、营销等环节。
重构改善既有代码的设计(第2版)
Martin Fowler
重构,一言以蔽之,是在不改变外部行为的前提下,有条不紊地改善代码。20 多年前,正是《重构:改善既有代码的设计》第1 版的出版,使重构终于从编程高手们的小圈子走出,成为众多普通程序员日常开发工作中不可或缺的一部分。如今,Martin Fowler 的《重构:改善既有代码的设计》一书已经成为全球有经验的程序员手中的利器,既可用来改善既有代码的设计、提升软件的可维护性,又可用于使既有代码更易理解、焕发出新的活力。
芯片验证日记 Feb. 24, 2023, 1:21 p.m.
重构改善既有代码
CMOS集成电路后端设计与实战
刘峰
本书从广度和深度两方面阐述CMOS集成电路后端设计流程与设计技术,并通过实战案例深入地讲解技术应用,有助于集成电路后端设计的初学者同时提高理论与实战水平。全书共有14章,分为三部分:后端全定制设计(标准单元库设计)及实战、后端半定制设计(布局布线设计)及实战、静态时序分析及实战。
嵌入式高速串行总线技术:基于FPGA实现与应用
张峰
本书主要涉及嵌入式系统中的高速串行总线技术,传输速率在Gbps量级。本书首先按时间的先后顺序梳理出计算机和嵌入式系统中常用的总线技术;然后介绍并基于FPGA实现了目前嵌入式系统中常用的高速串行总线技术,侧重于终端技术实现;最后论述嵌入式系统中的常用总线架构,侧重于整机设计。
FPGA LAB Feb. 24, 2023, 1:12 p.m.
入门普及高速总线知识的一本不可多得的好书
Verilog数字系统设计教程【第4版】
夏宇闻,韩彬
《Verilog数字系统设计教程(第4版)》讲述了利用硬件描述语言(Verilog HDL)设计复杂数字系统的方法。这种方法源自20世纪90年代的美国,在美国取得成效后迅速在其他先进工业国得到推广和普及。利用硬件描述语言建模、通过仿真和综合技术设计出极其复杂的数字系统是这种技术的大优势。《Verilog数字系统设计教程(第4版)》从算法和计算的基本概念出发,讲述如何用硬线逻辑电路实现复杂数字逻辑系统的方法。全书共四部分。一部分Verilog数字设计基础与第二部分Verilog数字系统设计和验证共18章;第三部分共12个上机练习实验范例;第四部分是Verilog硬件描述语言参考手册,可供读者学习、查询之用。《Verilog数字系统设计教程(第4版)》第3版后,在语法篇中增加了IEEE Verilog1364-2001标准简介,以反映Verilog语法的新变化。《Verilog数字系统设计教程(第4版)》的讲授方式以每2学时讲授一章为宜,每次课后需要花10h来复习思考。完成10章学习后,就可以开始做上机练习,从简单到复杂,由典型到一般,循序渐进地学习Verilog HDL基础知识。按照书上的步骤,可以使大学电子类及计算机工程类本科及研究生,以及相关领域的设计工程人员在半年内掌握Verilog HDL设计技术。《Verilog数字系统设计教程(第4版)》可作为电子工程类、自动控制类、计算机类的大学本科高年级及研究生教学用书,亦可供其他工程人员自学与参考。
纳瓦尔宝典
Eric Jorgenson
致富不是靠运气,幸福也不是从天而降的。积累财富和幸福生活是我们可以学习的技能。 这本书收集整理了硅谷投资人纳瓦尔在过去十年里通过推特、播客和采访等方式分享的人生智慧,向读者分享了纳瓦尔关于财富积累和幸福人生的原则与方法。纳瓦尔不仅告诉读者怎样致富,他还告诉读者怎样看待人生,怎样获得幸福。他对财富、人生的思考将帮助你走自己独特的道路,过上更富有、更幸福的生活。
创业芯2023 Feb. 24, 2023, 1:08 p.m.
如果你想实现财富自由,那这是一部教你如何创业的入门宝典。
低功耗验证方法学
Srikanth Jadcherla, Janick Bergeron, Yoshio Inoue, David Flynn
《低功耗验证方法学》分析归纳了多电压低功耗设计仿真验证技术中几乎所有的关键问题,并提出了十分重要的设计验证原则和规范。内容包括:多电压电源管理基础、电源管理隐患、状态保持、多电压测试平台的架构、多电压验证、动态验证、规则及指导原则等。
数字验证笔记 Feb. 24, 2023, 5:58 p.m.
少有的,介绍低功耗验证相关知识的书籍,虽然出版时间较为久远,但对初学者了解低功耗验证有很大的帮助
芯片验证调试手册——验证疑难点工作锦囊
刘斌
资深芯片验证专家刘斌(路桑)围绕目前芯片功能验证的主流方法—动态仿真面临的日常问题展开分析和讨论。根据验证工程师在仿真工作中容易遇到的技术疑难点,本书内容在逻辑上分为SystemVerilog疑难点、UVM疑难点和Testbench疑难点三部分。作者精心收集了上百个问题,给出详实的参考用例,指导读者解决实际问题。在这本实践性很强的书中,作者期望能够将作者与诸多工程师基于常见问题的交流进行总结,以易读易用的组织结构呈现给读者,目的是帮助芯片验证工程师更有效地处理技术疑难点,加快芯片验证的调试过程。
SystemVerilog验证:测试平台编写指南(第三版)
Chris Spear
本书讲解了System Verilog Testbench强大的验证功能,清楚地解释了面向对象编程、约束随机测试和功能覆盖的概念。本书涵盖System Verilog所有验证结构,如类、程序块、随机化和功能覆盖等,并通过超过500个代码示例和详细解释,说明了学习多态性、回调和工厂模式等概念的内部工作原理。此外,本书提供了数百条指导原则,为全职验证工程师和学习这一技能的读者提供帮助,让读者可以更高效地使用这种语言,并解释了常见的编码错误,以便读者可以避免这些陷阱。
硅芯思见 Feb. 24, 2023, 1:12 p.m.
“绿皮书”第三版,对第二版中错误进行了纠正,同时增加了一些内容和练习
综合与时序分析的设计约束
Sridhar Gangadharan
本书为集成电路时序约束设计的指南,指导读者通过指定的时序要求,充分发挥IC设计的性能。本书内容包括受时序约束的关键环节的设计流程、综合时序分析、静态时序分析和布局布线等。本书首先详细讲解时序要求的概念,然后详细解释如何将其应用于设计流程中的特定阶段,后通过实践介绍在Synopsys约束设计下(SDC)业界领先约束的格式。
ExASIC Feb. 23, 2023, 10:30 p.m.
把verilog变网表的必备参考书,前端设计工程师的能力提升必经之路
中国芯片往事
杨健楷
本书作者通过对重庆、深圳等地实地采风,访谈数十人,基于数十万字一手历史档案,和高达五百万字的参考资料,创作全新一手商业案例。 本书涉及的内容不止于芯片。本书是一部科技与商业的鲜活历史教程,电子从业、投资经营、学习实践皆可用。 通过重现组织成败、产业兴衰,全面复盘中国芯片史上激动人心的时代与片段,呈现产业规律,反思沉浮兴衰,叙述经济体制改革、公司经营与人物行述,包罗科技与商业万象。适读人群 :一,芯片产业从业者 二,泛电子工业从业者 三,产业政策制定者 四,对段永平和价值投资有兴趣的人 五,对芯片及电子工业感兴趣的一般商业人士 六,社会学等学术研究人员 七,有志于从事芯片及电子工业的学生。
基于MATLAB与FPGA的图像处理教程
韩彬
一本可以贯穿图像算法理论与MATLAB仿真,再以FPGA进行实战加速处理的书,本书实现了真正意义上的全流程讲解,填补了业内的空缺,是每个相关从业者的福音
疯狂的FPGA Feb. 24, 2023, 1:26 p.m.
国内第一本结合MATLAB仿真与FPGA实现的图像处理丛书,从图像处理算法理论到FPGA加速实现,贯穿始终,别具一格,发行5天破1000,分分钟被盗版的好书。
深入理解计算机系统
Randal E. Bryant
我们的目的是解释所有计算机系统的本质概念,并向你展示这些概念是如何实实在在地影响应用程序的正确性、性能和实用性的。其他的系统类书籍都是从构建者的角度来写的,讲述如何实现硬件或系统软件,包括操作系统、编译器和网络接口。而本书是从程序员的角度来写的,讲述应用程序员如何能够利用系统知识来编写出更好的程序。当然,学习一个计算机系统应该做些什么,是学习如何构建一个计算机系统的很好的出发点,所以,对于希望继续学习系统软硬件实现的人来说,本书也是一本很有价值的介绍性读物。大多数系统书籍还倾向于重点关注系统的某一个方面,比如:硬件架构、操作系统、编译器或者网络。本书则以程序员的视角统一覆盖了上述所有方面的内容。
From Algorithms to Hardware Architectures
Karim Abbas
This book uses digital radios as a challenging design example generalized to bridge a typical gap between designers who work on algorithms and those who work to implement those algorithms on silicon.
FPGA算法工程师 Feb. 24, 2023, 1:20 p.m.
专注于算法的系统设计师和专注于芯片的硬件设计师之间缺少了一些东西。中间有一个知识领域可以弥补这一差距。应该有一种共同的语言,算法设计师可以提前思考复杂性如何在硬件中转换,硬件设计师可以利用算法来制造高效的芯片。这本书从算法到硬件实现,提供了一种思路。
计算机体系结构:量化研究方法
John L. Hennessy, David A. Patterson
计算机体系结构权威书籍
IC解惑君 Feb. 24, 2023, 12:10 p.m.
计算机架构必备
PCI Express Technology Comprehensive Guide to Generations 1.x, 2.x and 3.0
Mike Jackson
PCIe相关,比较容易读懂,很系统,学习PCIe首推的好书。
亦安的数字小站 Feb. 24, 2023, 12:11 p.m.
PCIe相关,比较容易读懂,很系统,学习PCIe首推的好书,建议直接看英文。
集成电路静态时序分析与建模
刘峰
本书基于广度和深度两个方面来阐述整个CMOS集成电路静态时序分析流程与时序建模技术,并通过实践案例对技术应用进行更深入的讲解,使初学者在静态时序分析与建模两方面得到理论与实战的双重提高。
FPGA探索者 Feb. 24, 2023, 1:24 p.m.
理解IC设计的重中之重—时序,也是求职加分亮点
软硬件融合:超大规模云计算架构创新之路
黄朝波
物联网、大数据及人工智能等新兴技术推动云计算持续、快速地发展,底层硬件越来越无法满足上层软件的发展和迭代需求。本书通过探寻软硬件的技术本质,寻找能够使软件灵活性和硬件高效性相结合的方法,帮助有软件背景的读者更深刻地认识硬件,加深对软硬件之间联系的理解,并且更好地驾驭硬件;同时帮助有硬件背景的读者站在更全面的视角宏观地看待问题,理解需求、产品、系统、架构等多方面的权衡。《软硬件融合:超大规模云计算架构创新之路》共9章:第1章为云计算底层软硬件,第2章为软硬件融合综述,第3章为计算机体系结构基础,第4章为软硬件接口,第5章为算法加速和任务卸载,第6章为虚拟化硬件加速,第7章为异构加速,第8章为云计算体系结构趋势,第9章为融合的系统。本书立意新颖,案例贴近前沿,内容由浅入深,并且“展望未来”,可以帮助广大互联网及IT行业的软硬件工程师更好地理解软件、硬件及两者之间的内在联系,也可以作为计算机相关专业学生的技术拓展读物。
知芯
王迎帅
本书围绕半导体集成电路产业,既介绍了以设备、材料、EDA、IP为核心支撑的产业链,又重点围绕集成电路产业链,对产业链上中下游以及行业应用等进行了详细介绍。全书从半导体集成电路的基础知识开始,立足全球的发展现状和趋势分析,进而对影响中国半导体集成电路产业发展的要素和挑战、机遇及方向展开全面的剖析,最后从发展经济和产业的角度,介绍了招商引资与投资风险识别和应对策略,并提出了符合半导体集成电路产业发展规律的具体且操作性强的建议、方法和方案。适读人群 :各级政府领导,相关产业部门管理者,产业政策制定者,招商及投资管理部门,半导体集成电路产业“产学研用投”等领域的管理者、经营者和从业者,以及对半导体集成电路产业感兴趣的各界人士。
SoC设计方法与实现(第4版)
魏继增
本书结合SoC设计的整体流程,对SoC设计方法学及如何实现进行了全面介绍。主要内容包括:SoC设计绪论、SoC设计流程、SoC设计与EDA工具、SoC系统架构设计、IP复用的设计方法、RTL代码编写指南、同步电路设计及其与异步信号交互的问题、综合策略与静态时序分析方法、SoC功能验证、可测性设计、低功耗设计、后端设计、SoC中数模混合信号IP的设计与集成、I/O环的设计和芯片封装、课程设计与实验。
FPGA and ICer Feb. 24, 2023, 1:11 p.m.
介绍SoC设计的经典书籍
人生中必要的失去
Jack Kornfield
如何在这充满不确定性的世界里,拥有一个真正属于你的美好人生?超个人心理学大师杰克·康菲尓徳告诉我们:人生应该是一个不断学会做减法的述程——減去无用的欲望、累赘的习性、杂乱的心念。简单的心性,オ能过好复杂的人生。
推晨出芯 Feb. 24, 2023, 5:57 p.m.
迷茫时,快乐时,痛苦时,顺境时,都可翻看的书。不是图快看完的一本,但每个字都会让你平静下来,活在当下。
了不起的芯片
王健(笔名:温戈)
全书分为四篇,共计10章。第一篇 芯片的前世今生 第1章主要介绍半导体发展的历史,科普三大指令集(x86、ARM和RISC-V),强调互动感与探秘性,佐以大量的史料辅助阅读。第二篇 一颗芯片的诞生 本篇聚焦三部分内容——芯片设计、制造和封测。详细介绍每一个环节及流程,并深入科普了芯片及计算机的运作机理。第三篇 中国的“芯”路历程 本篇主要讲述国内的半导体学科及产业的发展历史、半导体行业中了不起的人物、国内半导体产业布局,以及逐浪而行的芯片公司。第四篇 携手共创“芯”未来 本篇介绍成为一名芯片工程师所需掌握的知识体系、工匠精神、学习工作心得、职业发展方向,为即将进入芯片行业及初入职场的读者提供参考。最后介绍芯片的前沿发展方向,并展望芯片的未来。作为一本科普读物,本书的读者可以是对芯片领域感兴趣的青少年、芯片爱好者,对希望从事芯片工作、芯片专业相关在校师生,对半导体行业从业者、投资者等。
中国哲学简史
冯友兰
《中国哲学简史》是由1947年冯友兰先生在美国宾夕法尼亚大学讲授中国哲学史的英文讲稿整理而成,1948年由美国麦克米伦出版公司出版。是西方人了解和学习中国哲学的入门书,在西方影响很大,有法文、意大利文、西班牙文、日文等多种译本。
验证芯发现 Feb. 24, 2023, 1:14 p.m.
介绍中国哲学的书籍,好读易懂,仁者见仁智者见智。对提升专业技术没有帮助,但如果书架上还有位置,可以放一本。
信号完整性 深入理解高速数字电路设计
高晓宇
主要内容有:信号完整性问题出现的技术背景、传输线与阻抗基础理论、信号的传输与回流、反射与端接技术、数字集成电路基础、信号完整性仿真与模型、时延与时序、电源完整性、高速串行接口技术。本书定位于面向广大信号完整性初学者的导引入门技术教程,读者通过本书可快速构建起信号完整性基础知识体系,掌握信号完整性的基本设计理念。
icsoc Feb. 24, 2023, 1:14 p.m.
中文原创,阐述详尽。
集成电路产业全书
王阳元
本书分上、中、下三册,多方面、多角度地介绍集成电路全产业链各个环节的相关知识。既综合了集成电路发展历程、应用技术、产业经济、未来趋势等内容,也详细讲解了集成电路设计、制造、生产线建设、封装测试、专用设备、专用材料等内容,还介绍了集成电路的新技术、新材料、新工艺以及前沿技术发展方向等具有前瞻性的新知识。
数字IC打工人 Feb. 24, 2023, 1:24 p.m.
集成电路方向的百宝书,对于了解整个行业有巨大帮助
FPGA设计技巧与案例开发详解【第3版】
韩彬,于潇宇,林海全
本书由浅入深、由表及里,从FPGA技术的探索到资源的发现与利用,从硬件版图规划与设计到逻辑电路验证与实现,从模块化功能的研究与积累到系统集成的综合与Timingquest时序优化,系统、全面地介绍Altera FPGA的开发流程。本书的所有例程均经过千锤百炼,相关FPGA设计的资源均由笔者多年整理归纳,希望读者能够妥善利用。
纳米集成电路制造工艺(第2版)
张汝京
本书共19章,涵盖优选集成电路工艺的发展史,集成电路制造流程、介电薄膜、金属化、光刻、刻蚀、表面清洁与湿法刻蚀、掺杂、化学机械平坦化,器件参数与工艺相关性,DFM(Design for Manufacturing),集成电路检测与分析、集成电路的可靠性,生产控制,良率提升,芯片测试与芯片封装等内容。 再版时加强了半导体器件方面的内容,增加了优选的FinFET、3D NAND存储器、CMOS图像传感器以及无结场效应晶体管器件与工艺等内容。
志芯 Feb. 24, 2023, 1:21 p.m.
中国芯片制造之父张汝京老师关于芯片制造工艺的系统阐述。
刷新 重新发现商业与未来
萨提亚·纳德拉(SatyaNadella)
每一种新技术都会带来新挑战。我们如何帮助那些被人工智能助理和机器人取代工作的人?用户会相信人工智能助理提供的所有信息吗?如果人工智能助理在工作方式方面给你建议,你会接受吗?《刷新》之所以有价值,原因就在这里。在直面难题的同时,萨提亚也对如何利用技术所带来的机遇给出了一个路线图。另外,他在书中还讲述了自己的故事,引人入胜,各种精彩语录很可能超乎你的想象。
A Practical Guide to Adopting the Universal Verification Methodology (UVM) Second Edition
Sharon Rosenberg, Kathleen Meade
一本实用的uvm教程
IC芯片设计中的静态时序分析实践
J. Bhasker, Rakesh Chadha
本书深度介绍了芯片设计中用静态时序分析进行时序验证的基本知识和应用方法,涉及了包括互连线模型、时序计算和串扰等影在内的响纳米级电路设计的时序的重要问题,并详细解释了在不同工艺、环境、互连工艺角和片上变化(OCV)下进行时序检查的方法。详细介绍了层次化块(Block)、全芯片及特殊IO接口的时序验证,并提供了SDC、SDF及SPEF格式的完整介绍。
OpenFPGA Feb. 24, 2023, 1:18 p.m.
《Static Timing Analysis for Nanometer Designs: A Practical Approach》的中文版,数字集成电路静态时序分析基础。